BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: ds26102

-- ***********************************************************************
--
-- File Name		:	DS26102_BSDL.TXT
-- Created by Synopsys Version 2000.11 (Nov 27, 2000)

-- Company		:	Dallas Semiconductor 
-- Documentation	:	DS26102 data sheets
-- BSDL Revision	:	1.0
-- Date			:	09/29/05
--
-- Device	      	:	DS26102
-- Package	      	:	256-pin PBGA
-- 
--			IMPORTANT NOTICE
-- Dallas Semiconductor customers are advised to obtain the latest version of 
-- device specifications before relying on any published information contained 
-- herein. Dallas Semiconductor assumes no responsibility or liability arising 
-- out of the application of any information described herein.
--
--			IMPORTANT NOTICE ABOUT THE REVISION
--
-- Dallas Semiconductor customers are advised to check the revision of the  
-- device they will be using.  All the codes for the device revisions are 
-- herein this BSDL file.
--
-- The characters "/", "(", ")" and "*" have been removed from signal names for 
-- compatibility with BSDL file format.
-- 
-- ***********************************************************************

entity ds26102 is
   
-- This section identifies the default device package selected.
   
   generic (PHYSICAL_PIN_MAP: string:= "PBGA_256");
   
-- This section declares all the ports in the design.
   
port ( 
          bls              : in       bit;
          clk_8k           : in       bit;
          gclk_in          : in       bit;
          jtclk            : in       bit;
          jtdi             : in       bit;
          jtms             : in       bit;
          jtrst_n          : in       bit;
          mp_bts           : in       bit;
          mp_cs_n          : in       bit;
          mp_mux           : in       bit;
          mp_rd_n          : in       bit;
          mp_wr_n          : in       bit;
          ref_clk          : in       bit;
          reset_n          : in       bit;
          rx_utop_clk      : in       bit;
          rx_utop_enb_n    : in       bit;
          scan_enable      : in       bit;
          scan_mode        : in       bit;
          test_mode        : in       bit;
          tu_parity        : in       bit;
          tx_utop_clk      : in       bit;
          tx_utop_enb_n    : in       bit;
          tx_utop_soc      : in       bit;
          ex_stat          : in       bit_vector (0 to 7);
          mp_addr          : in       bit_vector (0 to 7);
          rclk             : in       bit_vector (0 to 15);
          rdata            : in       bit_vector (0 to 15);
          rfp              : in       bit_vector (0 to 15);
          rx_utop_addr     : in       bit_vector (0 to 4);
          tclk             : in       bit_vector (0 to 15);
          tx_utop_addr     : in       bit_vector (0 to 4);
          tx_utop_data     : in       bit_vector (0 to 7);
          mp_intr_n        : inout    bit;
          mp_data          : inout    bit_vector (0 to 7);
          tfp              : inout    bit_vector (0 to 15);
          gclk_out         : out      bit;
          jtdo             : out      bit;
          one_sec_st       : out      bit;
          ru_parity        : out      bit;
          rx_utop_soc      : out      bit;
          rlcd             : out      bit_vector (0 to 15);
          rx_utop_clav     : out      bit_vector (0 to 3);
          rx_utop_data     : out      bit_vector (0 to 7);
          tdata            : out      bit_vector (0 to 15);
          tx_utop_2clav    : out      bit_vector (0 to 3);
          tx_utop_clav     : out      bit_vector (0 to 3);
          NC               : linkage  bit_vector (1 to 17);
          VDD              : linkage  bit_vector (1 to 16);
          VSS              : linkage  bit_vector (1 to 20)
   );
   
   use STD_1149_1_1994.all;
   attribute COMPONENT_CONFORMANCE of ds26102: entity is "STD_1149_1_1993";
   attribute PIN_MAP of ds26102: entity is PHYSICAL_PIN_MAP;
   
-- This section specifies the pin map for each port. This information
-- is extracted from the port-to-pin map file that was read in using
-- the "read_pin_map" command.
   
constant PBGA_256: PIN_MAP_STRING := 
        "bls              : C14," &
        "clk_8k           : J12," &
        "gclk_in          : K13," &
        "jtclk            : P16," &
        "jtdi             : N16," &
        "jtms             : P15," &
        "jtrst_n          : N14," &
        "mp_bts           : C16," &
        "mp_cs_n          : D14," &
        "mp_mux           : C15," &
        "mp_rd_n          : D15," &
        "mp_wr_n          : D13," &
        "ref_clk          : L15," &
        "reset_n          : L14," &
        "rx_utop_clk      : K5," &
        "rx_utop_enb_n    : J2," &
        "scan_enable      : K15," &
        "scan_mode        : K14," &
        "test_mode        : K16," &
        "tu_parity        : G5," &
        "tx_utop_clk      : F2," &
        "tx_utop_enb_n    : D1," &
        "tx_utop_soc      : F3," &
        "ex_stat          : (J16, J14, J15, H12, H13, H16, H14, H15)," &
        "mp_addr          : (F16, F13, F12, G15, G14, G16, G13, G12)," &
        "rclk             : (A14, B13, C12, D11, B11, A10, E9, C9, T6, M7, P7, N8, R8, T9, M10, P10)," &
        "rdata            : (B14, A13, A12, E11, C11, D10, B10, A9, N6, R6, T7, M8, P8, N9, R9, T10)," &
        "rfp              : (C13, D12, B12, A11, E10, C10, D9, B9, P6, N7, R7, T8, M9, P9, N10, R10)," &
        "rx_utop_addr     : (J3, J1, J4, J5, H2)," &
        "tclk             : (D8, B8, A7, E6, C6, D5, B5, D4, N11, R11, T12, T13, P13, P14, M16, L12)," &
        "tx_utop_addr     : (D3, A2, C3, B3, A3)," &
        "tx_utop_data     : (F1, F4, F5, E2, E3, E1, E4, D2)," &
        "mp_intr_n        : B16," &
        "mp_data          : (D16, E15, E14, E16, E13, E12, F15, F14)," &
        "tfp              : (A8, E7, C7, D6, B6, A5, A4, C4, T11, M12, P12, R13, T14, T15, M14, L13)," &
        "gclk_out         : K12," &
        "jtdo             : N15," &
        "one_sec_st       : J13," &
        "ru_parity        : M2," &
        "rx_utop_soc      : L2," &
        "rlcd             : (N1, N2, N4, N3, P1, P2, R3, T3, P4, T4, R4, N5, T5, P5, R5, M6)," &
        "rx_utop_clav     : (M5, M4, M1, M3)," &
        "rx_utop_data     : (L3, L1, L4, L5, K2, K3, K1, K4)," &
        "tdata            : (E8, C8, D7, B7, A6, E5, C5, B4, M11, P11, N12, R12, N13, R14, M13, M15)," &
        "tx_utop_2clav    : (G1, H4, H1, H3)," &
        "tx_utop_clav     : (G4, G3, G2, H5)," &
        "NC               : (A1, A15, A16, B1, B2, B15, C1, C2, L16, P3, R1, R2, R15, R16, T1, T2, T16)," &
        "VDD              : (F8, F9, G8, G9, H6, H7, H10, H11, J6, J7, J10, J11, K8, K9, L8, L9)," &
        "VSS              : (F6, F7, F10, F11, G6, G7, G10, G11, H8, H9, J8, J9, K6, K7, K10, K11, L6, L7, L10, L11)";
   
-- This section specifies the TAP ports.
-- For the TAP TCK port, the parameters in the brackets are:
--        First Field : Maximum  TCK frequency.
--        Second Field: Allowable states TCK may be stopped in.
   
   attribute TAP_SCAN_CLOCK of jtclk  : signal is (10.0e6, BOTH);
   attribute TAP_SCAN_IN    of jtdi   : signal is true;
   attribute TAP_SCAN_MODE  of jtms   : signal is true;
   attribute TAP_SCAN_OUT   of jtdo   : signal is true;
   attribute TAP_SCAN_RESET of jtrst_n: signal is true;
   
-- Specifies the number of bits in the instruction register.
   
   attribute INSTRUCTION_LENGTH of ds26102: entity is 3;
   
-- Specifies the boundary-scan instructions implemented in the
-- design and their opcodes.
   
   attribute INSTRUCTION_OPCODE of ds26102: entity is 
     "BYPASS (111)," &
     "EXTEST (000)," &
     "SAMPLE (010)," &
     "CLAMP  (011)," &
     "HIGHZ  (100)," &
     "USER1  (101)," &
     "USER2  (110)," &
     "IDCODE (001)";
   
-- Specifies the bit pattern that is loaded into the instruction
-- register when the TAP controller passes through the Capture-IR
-- state. The standard mandates that the two LSBs must be "01".
-- The remaining bits are design specific.
   
   attribute INSTRUCTION_CAPTURE of ds26102: entity is "001";
   
-- Specifies the bit pattern that is loaded into the DEVICE_ID
-- register during the IDCODE instruction when the TAP controller
-- passes through the Capture-DR state.
   
   attribute IDCODE_REGISTER of ds26102: entity is 
     "0000" &                  -- 4-bit version number
     "0000000000100111" &      -- 16-bit part number
     "00010100001" &           -- 11-bit identity of the manufacturer
     "1";                      -- Required by IEEE Std 1149.1
   
-- This section specifies the test data register placed between TDI
-- and TDO for each implemented instruction.
   
   attribute REGISTER_ACCESS of ds26102: entity is 
        "BYPASS    (BYPASS, CLAMP, HIGHZ, USER1, USER2)," &
        "BOUNDARY  (EXTEST, SAMPLE)," &
        "DEVICE_ID (IDCODE)";
   
-- Specifies the length of the boundary scan register.
   
   attribute BOUNDARY_LENGTH of ds26102: entity is 245;
   
-- The following list specifies the characteristics of each cell
-- in the boundary scan register from TDI to TDO.
-- The following is a description of the label fields:
--      num     : Is the cell number.
--      cell    : Is the cell type as defined by the standard.
--      port    : Is the design port name. Control cells do not
--                have a port name.
--      function: Is the function of the cell as defined by the
--                standard. Is one of input, output2, output3,
--                bidir, control or controlr.
--      safe    : Specifies the value that the BSR cell should be
--                loaded with for safe operation when the software
--                might otherwise choose a random value.
--      ccell   : The control cell number. Specifies the control
--                cell that drives the output enable for this port.
--      disval  : Specifies the value that is loaded into the
--                control cell to disable the output enable for
--                the corresponding port.
--      rslt    : Resulting state. Shows the state of the driver
--                when it is disabled.
   
   attribute BOUNDARY_REGISTER of ds26102: entity is 
--    
--    num   cell   port              function      safe  [ccell  disval  rslt]
--    
     "244  (BC_2,  tdata(14),        output3,      X,    161,    1,      Z),  " &
     "243  (BC_4,  tclk(14),         observe_only, X),                        " &
     "242  (BC_4,  tfp(14),          observe_only, X),                        " &
     "241  (BC_2,  tfp(14),          output3,      X,    240,    1,      Z),  " &
     "240  (BC_2,  *,                controlr,     1),                        " &
     "239  (BC_2,  tdata(15),        output3,      X,    161,    1,      Z),  " &
     "238  (BC_4,  tclk(15),         observe_only, X),                        " &
     "237  (BC_4,  tfp(15),          observe_only, X),                        " &
     "236  (BC_2,  tfp(15),          output3,      X,    235,    1,      Z),  " &
     "235  (BC_2,  *,                controlr,     1),                        " &
     "234  (BC_4,  reset_n,          observe_only, X),                        " &
     "233  (BC_4,  ref_clk,          observe_only, X),                        " &
     "232  (BC_2,  gclk_out,         output3,      X,    161,    1,      Z),  " &
     "231  (BC_4,  gclk_in,          observe_only, X),                        " &
     "230  (BC_4,  clk_8k,           observe_only, X),                        " &
     "229  (BC_2,  one_sec_st,       output3,      X,    161,    1,      Z),  " &
     "228  (BC_4,  ex_stat(0),       observe_only, X),                        " &
     "227  (BC_4,  ex_stat(1),       observe_only, X),                        " &
     "226  (BC_4,  ex_stat(2),       observe_only, X),                        " &
     "225  (BC_4,  ex_stat(3),       observe_only, X),                        " &
     "224  (BC_4,  ex_stat(4),       observe_only, X),                        " &
     "223  (BC_4,  ex_stat(5),       observe_only, X),                        " &
     "222  (BC_4,  ex_stat(6),       observe_only, X),                        " &
     "221  (BC_4,  ex_stat(7),       observe_only, X),                        " &
     "220  (BC_4,  mp_addr(7),       observe_only, X),                        " &
     "219  (BC_4,  mp_addr(6),       observe_only, X),                        " &
     "218  (BC_4,  mp_addr(5),       observe_only, X),                        " &
     "217  (BC_4,  mp_addr(4),       observe_only, X),                        " &
     "216  (BC_4,  mp_addr(3),       observe_only, X),                        " &
     "215  (BC_4,  mp_addr(2),       observe_only, X),                        " &
     "214  (BC_4,  mp_addr(1),       observe_only, X),                        " &
     "213  (BC_4,  mp_addr(0),       observe_only, X),                        " &
     "212  (BC_4,  mp_data(7),       observe_only, X),                        " &
     "211  (BC_2,  mp_data(7),       output3,      X,    210,    1,      Z),  " &
     "210  (BC_2,  *,                controlr,     1),                        " &
     "209  (BC_4,  mp_data(6),       observe_only, X),                        " &
     "208  (BC_2,  mp_data(6),       output3,      X,    210,    1,      Z),  " &
     "207  (BC_4,  mp_data(5),       observe_only, X),                        " &
     "206  (BC_2,  mp_data(5),       output3,      X,    210,    1,      Z),  " &
     "205  (BC_4,  mp_data(4),       observe_only, X),                        " &
     "204  (BC_2,  mp_data(4),       output3,      X,    210,    1,      Z),  " &
     "203  (BC_4,  mp_data(3),       observe_only, X),                        " &
     "202  (BC_2,  mp_data(3),       output3,      X,    210,    1,      Z),  " &
     "201  (BC_4,  mp_data(2),       observe_only, X),                        " &
     "200  (BC_2,  mp_data(2),       output3,      X,    210,    1,      Z),  " &
     "199  (BC_4,  mp_data(1),       observe_only, X),                        " &
     "198  (BC_2,  mp_data(1),       output3,      X,    210,    1,      Z),  " &
     "197  (BC_4,  mp_data(0),       observe_only, X),                        " &
     "196  (BC_2,  mp_data(0),       output3,      X,    210,    1,      Z),  " &
     "195  (BC_4,  mp_rd_n,          observe_only, X),                        " &
     "194  (BC_4,  mp_wr_n,          observe_only, X),                        " &
     "193  (BC_4,  mp_cs_n,          observe_only, X),                        " &
     "192  (BC_4,  mp_bts,           observe_only, X),                        " &
     "191  (BC_4,  mp_mux,           observe_only, X),                        " &
     "190  (BC_4,  bls,              observe_only, X),                        " &
     "189  (BC_4,  mp_intr_n,        observe_only, X),                        " &
     "188  (BC_0,  *,                internal,     0),                        " &
     "187  (BC_2,  mp_intr_n,        output2,      1,    187,    1,      WEAK1)," &
     "186  (BC_4,  rdata(0),         observe_only, X),                        " &
     "185  (BC_4,  rclk(0),          observe_only, X),                        " &
     "184  (BC_4,  rfp(0),           observe_only, X),                        " &
     "183  (BC_4,  rdata(1),         observe_only, X),                        " &
     "182  (BC_4,  rclk(1),          observe_only, X),                        " &
     "181  (BC_4,  rfp(1),           observe_only, X),                        " &
     "180  (BC_4,  rdata(2),         observe_only, X),                        " &
     "179  (BC_4,  rclk(2),          observe_only, X),                        " &
     "178  (BC_4,  rfp(2),           observe_only, X),                        " &
     "177  (BC_4,  rdata(3),         observe_only, X),                        " &
     "176  (BC_4,  rclk(3),          observe_only, X),                        " &
     "175  (BC_4,  rfp(3),           observe_only, X),                        " &
     "174  (BC_4,  rdata(4),         observe_only, X),                        " &
     "173  (BC_4,  rclk(4),          observe_only, X),                        " &
     "172  (BC_4,  rfp(4),           observe_only, X),                        " &
     "171  (BC_4,  rdata(5),         observe_only, X),                        " &
     "170  (BC_4,  rclk(5),          observe_only, X),                        " &
     "169  (BC_4,  rfp(5),           observe_only, X),                        " &
     "168  (BC_4,  rdata(6),         observe_only, X),                        " &
     "167  (BC_4,  rclk(6),          observe_only, X),                        " &
     "166  (BC_4,  rfp(6),           observe_only, X),                        " &
     "165  (BC_4,  rdata(7),         observe_only, X),                        " &
     "164  (BC_4,  rclk(7),          observe_only, X),                        " &
     "163  (BC_4,  rfp(7),           observe_only, X),                        " &
     "162  (BC_2,  tdata(0),         output3,      X,    161,    1,      Z),  " &
     "161  (BC_2,  *,                controlr,     1),                        " &
     "160  (BC_4,  tclk(0),          observe_only, X),                        " &
     "159  (BC_4,  tfp(0),           observe_only, X),                        " &
     "158  (BC_2,  tfp(0),           output3,      X,    157,    1,      Z),  " &
     "157  (BC_2,  *,                controlr,     1),                        " &
     "156  (BC_2,  tdata(1),         output3,      X,    161,    1,      Z),  " &
     "155  (BC_4,  tclk(1),          observe_only, X),                        " &
     "154  (BC_4,  tfp(1),           observe_only, X),                        " &
     "153  (BC_2,  tfp(1),           output3,      X,    152,    1,      Z),  " &
     "152  (BC_2,  *,                controlr,     1),                        " &
     "151  (BC_2,  tdata(2),         output3,      X,    161,    1,      Z),  " &
     "150  (BC_4,  tclk(2),          observe_only, X),                        " &
     "149  (BC_4,  tfp(2),           observe_only, X),                        " &
     "148  (BC_2,  tfp(2),           output3,      X,    147,    1,      Z),  " &
     "147  (BC_2,  *,                controlr,     1),                        " &
     "146  (BC_2,  tdata(3),         output3,      X,    161,    1,      Z),  " &
     "145  (BC_4,  tclk(3),          observe_only, X),                        " &
     "144  (BC_4,  tfp(3),           observe_only, X),                        " &
     "143  (BC_2,  tfp(3),           output3,      X,    142,    1,      Z),  " &
     "142  (BC_2,  *,                controlr,     1),                        " &
     "141  (BC_2,  tdata(4),         output3,      X,    161,    1,      Z),  " &
     "140  (BC_4,  tclk(4),          observe_only, X),                        " &
     "139  (BC_4,  tfp(4),           observe_only, X),                        " &
     "138  (BC_2,  tfp(4),           output3,      X,    137,    1,      Z),  " &
     "137  (BC_2,  *,                controlr,     1),                        " &
     "136  (BC_2,  tdata(5),         output3,      X,    161,    1,      Z),  " &
     "135  (BC_4,  tclk(5),          observe_only, X),                        " &
     "134  (BC_4,  tfp(5),           observe_only, X),                        " &
     "133  (BC_2,  tfp(5),           output3,      X,    132,    1,      Z),  " &
     "132  (BC_2,  *,                controlr,     1),                        " &
     "131  (BC_2,  tdata(6),         output3,      X,    161,    1,      Z),  " &
     "130  (BC_4,  tclk(6),          observe_only, X),                        " &
     "129  (BC_4,  tfp(6),           observe_only, X),                        " &
     "128  (BC_2,  tfp(6),           output3,      X,    127,    1,      Z),  " &
     "127  (BC_2,  *,                controlr,     1),                        " &
     "126  (BC_2,  tdata(7),         output3,      X,    161,    1,      Z),  " &
     "125  (BC_4,  tclk(7),          observe_only, X),                        " &
     "124  (BC_4,  tfp(7),           observe_only, X),                        " &
     "123  (BC_2,  tfp(7),           output3,      X,    122,    1,      Z),  " &
     "122  (BC_2,  *,                controlr,     1),                        " &
     "121  (BC_4,  tx_utop_addr(4),  observe_only, X),                        " &
     "120  (BC_4,  tx_utop_addr(3),  observe_only, X),                        " &
     "119  (BC_4,  tx_utop_addr(2),  observe_only, X),                        " &
     "118  (BC_4,  tx_utop_addr(1),  observe_only, X),                        " &
     "117  (BC_4,  tx_utop_addr(0),  observe_only, X),                        " &
     "116  (BC_4,  tx_utop_enb_n,    observe_only, X),                        " &
     "115  (BC_4,  tx_utop_data(7),  observe_only, X),                        " &
     "114  (BC_4,  tx_utop_data(6),  observe_only, X),                        " &
     "113  (BC_4,  tx_utop_data(5),  observe_only, X),                        " &
     "112  (BC_4,  tx_utop_data(4),  observe_only, X),                        " &
     "111  (BC_4,  tx_utop_data(3),  observe_only, X),                        " &
     "110  (BC_4,  tx_utop_data(2),  observe_only, X),                        " &
     "109  (BC_4,  tx_utop_data(1),  observe_only, X),                        " &
     "108  (BC_4,  tx_utop_data(0),  observe_only, X),                        " &
     "107  (BC_4,  tx_utop_soc,      observe_only, X),                        " &
     "106  (BC_4,  tx_utop_clk,      observe_only, X),                        " &
     "105  (BC_4,  tu_parity,        observe_only, X),                        " &
     "104  (BC_2,  tx_utop_clav(0),  output3,      X,    103,    1,      Z),  " &
     "103  (BC_2,  *,                controlr,     1),                        " &
     "102  (BC_2,  tx_utop_2clav(0), output3,      X,    103,    1,      Z),  " &
     "101  (BC_2,  tx_utop_clav(1),  output3,      X,    100,    1,      Z),  " &
     "100  (BC_2,  *,                controlr,     1),                        " &
     "99   (BC_2,  tx_utop_clav(2),  output3,      X,    100,    1,      Z),  " &
     "98   (BC_2,  tx_utop_clav(3),  output3,      X,    100,    1,      Z),  " &
     "97   (BC_2,  tx_utop_2clav(1), output3,      X,    100,    1,      Z),  " &
     "96   (BC_2,  tx_utop_2clav(2), output3,      X,    100,    1,      Z),  " &
     "95   (BC_2,  tx_utop_2clav(3), output3,      X,    100,    1,      Z),  " &
     "94   (BC_4,  rx_utop_addr(4),  observe_only, X),                        " &
     "93   (BC_4,  rx_utop_addr(3),  observe_only, X),                        " &
     "92   (BC_4,  rx_utop_addr(2),  observe_only, X),                        " &
     "91   (BC_4,  rx_utop_addr(1),  observe_only, X),                        " &
     "90   (BC_4,  rx_utop_addr(0),  observe_only, X),                        " &
     "89   (BC_4,  rx_utop_enb_n,    observe_only, X),                        " &
     "88   (BC_4,  rx_utop_clk,      observe_only, X),                        " &
     "87   (BC_2,  rx_utop_data(7),  output3,      X,    86,     1,      Z),  " &
     "86   (BC_2,  *,                controlr,     1),                        " &
     "85   (BC_2,  rx_utop_data(6),  output3,      X,    86,     1,      Z),  " &
     "84   (BC_2,  rx_utop_data(5),  output3,      X,    86,     1,      Z),  " &
     "83   (BC_2,  rx_utop_data(4),  output3,      X,    86,     1,      Z),  " &
     "82   (BC_2,  rx_utop_data(3),  output3,      X,    86,     1,      Z),  " &
     "81   (BC_2,  rx_utop_data(2),  output3,      X,    86,     1,      Z),  " &
     "80   (BC_2,  rx_utop_data(1),  output3,      X,    86,     1,      Z),  " &
     "79   (BC_2,  rx_utop_data(0),  output3,      X,    86,     1,      Z),  " &
     "78   (BC_2,  rx_utop_soc,      output3,      X,    77,     1,      Z),  " &
     "77   (BC_2,  *,                controlr,     1),                        " &
     "76   (BC_2,  rx_utop_clav(0),  output3,      X,    75,     1,      Z),  " &
     "75   (BC_2,  *,                controlr,     1),                        " &
     "74   (BC_2,  rx_utop_clav(1),  output3,      X,    73,     1,      Z),  " &
     "73   (BC_2,  *,                controlr,     1),                        " &
     "72   (BC_2,  rx_utop_clav(2),  output3,      X,    73,     1,      Z),  " &
     "71   (BC_2,  rx_utop_clav(3),  output3,      X,    73,     1,      Z),  " &
     "70   (BC_2,  ru_parity,        output3,      X,    77,     1,      Z),  " &
     "69   (BC_2,  rlcd(0),          output3,      X,    161,    1,      Z),  " &
     "68   (BC_2,  rlcd(1),          output3,      X,    161,    1,      Z),  " &
     "67   (BC_2,  rlcd(2),          output3,      X,    161,    1,      Z),  " &
     "66   (BC_2,  rlcd(3),          output3,      X,    161,    1,      Z),  " &
     "65   (BC_2,  rlcd(4),          output3,      X,    161,    1,      Z),  " &
     "64   (BC_2,  rlcd(5),          output3,      X,    161,    1,      Z),  " &
     "63   (BC_2,  rlcd(6),          output3,      X,    161,    1,      Z),  " &
     "62   (BC_2,  rlcd(7),          output3,      X,    161,    1,      Z),  " &
     "61   (BC_2,  rlcd(8),          output3,      X,    161,    1,      Z),  " &
     "60   (BC_2,  rlcd(9),          output3,      X,    161,    1,      Z),  " &
     "59   (BC_2,  rlcd(10),         output3,      X,    161,    1,      Z),  " &
     "58   (BC_2,  rlcd(11),         output3,      X,    161,    1,      Z),  " &
     "57   (BC_2,  rlcd(12),         output3,      X,    161,    1,      Z),  " &
     "56   (BC_2,  rlcd(13),         output3,      X,    161,    1,      Z),  " &
     "55   (BC_2,  rlcd(14),         output3,      X,    161,    1,      Z),  " &
     "54   (BC_2,  rlcd(15),         output3,      X,    161,    1,      Z),  " &
     "53   (BC_4,  rdata(8),         observe_only, X),                        " &
     "52   (BC_4,  rclk(8),          observe_only, X),                        " &
     "51   (BC_4,  rfp(8),           observe_only, X),                        " &
     "50   (BC_4,  rdata(9),         observe_only, X),                        " &
     "49   (BC_4,  rclk(9),          observe_only, X),                        " &
     "48   (BC_4,  rfp(9),           observe_only, X),                        " &
     "47   (BC_4,  rdata(10),        observe_only, X),                        " &
     "46   (BC_4,  rclk(10),         observe_only, X),                        " &
     "45   (BC_4,  rfp(10),          observe_only, X),                        " &
     "44   (BC_4,  rdata(11),        observe_only, X),                        " &
     "43   (BC_4,  rclk(11),         observe_only, X),                        " &
     "42   (BC_4,  rfp(11),          observe_only, X),                        " &
     "41   (BC_4,  rdata(12),        observe_only, X),                        " &
     "40   (BC_4,  rclk(12),         observe_only, X),                        " &
     "39   (BC_4,  rfp(12),          observe_only, X),                        " &
     "38   (BC_4,  rdata(13),        observe_only, X),                        " &
     "37   (BC_4,  rclk(13),         observe_only, X),                        " &
     "36   (BC_4,  rfp(13),          observe_only, X),                        " &
     "35   (BC_4,  rdata(14),        observe_only, X),                        " &
     "34   (BC_4,  rclk(14),         observe_only, X),                        " &
     "33   (BC_4,  rfp(14),          observe_only, X),                        " &
     "32   (BC_4,  rdata(15),        observe_only, X),                        " &
     "31   (BC_4,  rclk(15),         observe_only, X),                        " &
     "30   (BC_4,  rfp(15),          observe_only, X),                        " &
     "29   (BC_2,  tdata(8),         output3,      X,    161,    1,      Z),  " &
     "28   (BC_4,  tclk(8),          observe_only, X),                        " &
     "27   (BC_4,  tfp(8),           observe_only, X),                        " &
     "26   (BC_2,  tfp(8),           output3,      X,    25,     1,      Z),  " &
     "25   (BC_2,  *,                controlr,     1),                        " &
     "24   (BC_2,  tdata(9),         output3,      X,    161,    1,      Z),  " &
     "23   (BC_4,  tclk(9),          observe_only, X),                        " &
     "22   (BC_4,  tfp(9),           observe_only, X),                        " &
     "21   (BC_2,  tfp(9),           output3,      X,    20,     1,      Z),  " &
     "20   (BC_2,  *,                controlr,     1),                        " &
     "19   (BC_2,  tdata(10),        output3,      X,    161,    1,      Z),  " &
     "18   (BC_4,  tclk(10),         observe_only, X),                        " &
     "17   (BC_4,  tfp(10),          observe_only, X),                        " &
     "16   (BC_2,  tfp(10),          output3,      X,    15,     1,      Z),  " &
     "15   (BC_2,  *,                controlr,     1),                        " &
     "14   (BC_2,  tdata(11),        output3,      X,    161,    1,      Z),  " &
     "13   (BC_4,  tclk(11),         observe_only, X),                        " &
     "12   (BC_4,  tfp(11),          observe_only, X),                        " &
     "11   (BC_2,  tfp(11),          output3,      X,    10,     1,      Z),  " &
     "10   (BC_2,  *,                controlr,     1),                        " &
     "9    (BC_2,  tdata(12),        output3,      X,    161,    1,      Z),  " &
     "8    (BC_4,  tclk(12),         observe_only, X),                        " &
     "7    (BC_4,  tfp(12),          observe_only, X),                        " &
     "6    (BC_2,  tfp(12),          output3,      X,    5,      1,      Z),  " &
     "5    (BC_2,  *,                controlr,     1),                        " &
     "4    (BC_2,  tdata(13),        output3,      X,    161,    1,      Z),  " &
     "3    (BC_4,  tclk(13),         observe_only, X),                        " &
     "2    (BC_4,  tfp(13),          observe_only, X),                        " &
     "1    (BC_2,  tfp(13),          output3,      X,    0,      1,      Z),  " &
     "0    (BC_2,  *,                controlr,     1)                         ";
 
 end ds26102;