BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XC6VHX255T latest version

-- (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of 
-- liability) for any loss or damage of any kind or nature
-- releated to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitiations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- BSDL file for device XC6VHX255T, package DIE_BOND
-- Generated by bsdlnet Version 1.4
------------------------------------------------------------------------
-- Modification History
-- | Generated on 12/10/08
-- | CR # N/A
-- | Details -  Initial Release
------------------------------------------------------------------------
-- | Generated on 3/16/08
-- | CR # N/A
-- | Details -  Modified AIO as per feedback from JTAG Tech.
------------------------------------------------------------------------
-- | Generated on 3/20/08
-- | CR # 513097
-- | Details -  Changed ISC_PROGRAM_SECURITY to ISC_PROGRAM_KEY
------------------------------------------------------------------------
-- | Generated on 5/20/09
-- | CR # N/A
-- | Details -  Changed HSWAPEN to safe value 0 (vs X).
------------------------------------------------------------------------
-- | Generated on 7/9/09
-- | CR # N/A
-- | Details -  Removed AC_SELX internal register from GTH, and re-ordered
-- |		the GTH boundary registers.
------------------------------------------------------------------------
-- | Generated on 7/29/09
-- | CR # 527514
-- | Details -  Updated tap scan clk frequencey from 33MHz to 66MHz
-- |		to match data sheet.  Also added AIO attributes for HXT.
------------------------------------------------------------------------
-- | Generated on 9/30/09
-- | CR # 532987
-- | Details -  Updated GTH power pin names to be consistent with other
-- |		Xilinx families.
------------------------------------------------------------------------
-- | Generated on 1/19/10
-- | CR # 545171
-- | Details -  Added design warning for TCK min freq at 0C.
------------------------------------------------------------------------
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- or contact our technical support at:
--
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	+44 870 7350 610	eurosupport@xilinx.com
--	France		(33) 1 3463 0100	eurosupport@xilinx.com
--	Germany		(49) 89 991 54930	eurosupport@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- To prevent losing the current configuration, the boundary scan
-- test vectors should keep the PROGRAM_B pin high.
--
-- PROGRAM_B can only be captured, not updated.  The value
-- at the pin is always used by the device.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an CMOS
-- input buffer. In order to properly capture a logic high value at one
-- of these IOBs into its input boundary scan cell, please refer to the
-- datasheet and user guide for proper input levels.
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- HSWAPEN should be set low for this file.

----------------------------------

-- BSDL File for P1149.6 Standard.

----------------------------------
-- ----------------------------------------------------------------------
-- This BSDL file has been checked and verified by JTAG Technologies B.V.
-- on 2010-01-19, for syntactical and semantic compliance with
-- IEEE standards 1149.1 and 1149.6
-- using bsdl32.dll  1.6.0.5 - 20090604 Win32
-- copyright (c) 2009 JTAG Technologies B.V., All rights reserved
-- ----------------------------------------------------------------------

entity XC6VHX255T is

-- Generic Parameter

generic (PHYSICAL_PIN_MAP : string := "DIE_BOND" );

-- Logical Port Description

port (
	CCLK_0: inout bit;
	CSI_B_0: in bit;
	DIN_0: in bit;
	DONE_0: inout bit;
	DOUT_BUSY_0: out bit;
	GND: linkage bit;
	GND_MON: linkage bit;
	GND_PLL_BOT53: linkage bit;
	GND_PLL_BOT54: linkage bit;
	GND_PLL_BOT55: linkage bit;
	GND_PLL_BOT56: linkage bit;
	GND_PLL_BOT57: linkage bit;
	GND_PLL_BOT58: linkage bit;
	GND_PLL_TOP53: linkage bit;
	GND_PLL_TOP54: linkage bit;
	GND_PLL_TOP55: linkage bit;
	GND_PLL_TOP56: linkage bit;
	GND_PLL_TOP57: linkage bit;
	GND_PLL_TOP58: linkage bit;
	HSWAPEN: in bit; --  HSWAPEN_0
	INIT_B_0: inout bit;
	M0_0: in bit;
	M1_0: in bit;
	M2_0: in bit;
	MGTAMON_106: linkage bit;
	MGTAMON_107: linkage bit;
	MGTAMON_108: linkage bit;
	MGTAMON_116: linkage bit;
	MGTAMON_117: linkage bit;
	MGTAMON_118: linkage bit;
	MGTAVCC_103: linkage bit;
	MGTAVCC_104: linkage bit;
	MGTAVCC_105: linkage bit;
	MGTAVCC_113: linkage bit;
	MGTAVCC_114: linkage bit;
	MGTAVCC_115: linkage bit;
	MGTAVSS: linkage bit;
	MGTAVSS_L: linkage bit;
	MGTAVTTRCAL_103: linkage bit;
	MGTAVTTRCAL_104: linkage bit;
	MGTAVTTRCAL_105: linkage bit;
	MGTAVTTRCAL_113: linkage bit;
	MGTAVTTRCAL_114: linkage bit;
	MGTAVTTRCAL_115: linkage bit;
	MGTAVTTRX_103: linkage bit;
	MGTAVTTRX_104: linkage bit;
	MGTAVTTRX_105: linkage bit;
	MGTAVTTRX_113: linkage bit;
	MGTAVTTRX_114: linkage bit;
	MGTAVTTRX_115: linkage bit;
	MGTAVTTTX_103: linkage bit;
	MGTAVTTTX_104: linkage bit;
	MGTAVTTTX_105: linkage bit;
	MGTAVTTTX_113: linkage bit;
	MGTAVTTTX_114: linkage bit;
	MGTAVTTTX_115: linkage bit;
	MGTDMOND_106: linkage bit;
	MGTDMOND_107: linkage bit;
	MGTDMOND_108: linkage bit;
	MGTDMOND_116: linkage bit;
	MGTDMOND_117: linkage bit;
	MGTDMOND_118: linkage bit;
	MGTDMON_106: linkage bit;
	MGTDMON_107: linkage bit;
	MGTDMON_108: linkage bit;
	MGTDMON_116: linkage bit;
	MGTDMON_117: linkage bit;
	MGTDMON_118: linkage bit;
	MGTRBIAS_106: linkage bit;
	MGTRBIAS_107: linkage bit;
	MGTRBIAS_108: linkage bit;
	MGTRBIAS_116: linkage bit;
	MGTRBIAS_117: linkage bit;
	MGTRBIAS_118: linkage bit;
	MGTREFCLK0N_103: linkage bit;
	MGTREFCLK0N_104: linkage bit;
	MGTREFCLK0N_105: linkage bit;
	MGTREFCLK0N_113: linkage bit;
	MGTREFCLK0N_114: linkage bit;
	MGTREFCLK0N_115: linkage bit;
	MGTREFCLK0P_103: linkage bit;
	MGTREFCLK0P_104: linkage bit;
	MGTREFCLK0P_105: linkage bit;
	MGTREFCLK0P_113: linkage bit;
	MGTREFCLK0P_114: linkage bit;
	MGTREFCLK0P_115: linkage bit;
	MGTREFCLK1N_103: linkage bit;
	MGTREFCLK1N_104: linkage bit;
	MGTREFCLK1N_105: linkage bit;
	MGTREFCLK1N_113: linkage bit;
	MGTREFCLK1N_114: linkage bit;
	MGTREFCLK1N_115: linkage bit;
	MGTREFCLK1P_103: linkage bit;
	MGTREFCLK1P_104: linkage bit;
	MGTREFCLK1P_105: linkage bit;
	MGTREFCLK1P_113: linkage bit;
	MGTREFCLK1P_114: linkage bit;
	MGTREFCLK1P_115: linkage bit;
	MGTREFCLKN_106: linkage bit;
	MGTREFCLKN_107: linkage bit;
	MGTREFCLKN_108: linkage bit;
	MGTREFCLKN_116: linkage bit;
	MGTREFCLKN_117: linkage bit;
	MGTREFCLKN_118: linkage bit;
	MGTREFCLKP_106: linkage bit;
	MGTREFCLKP_107: linkage bit;
	MGTREFCLKP_108: linkage bit;
	MGTREFCLKP_116: linkage bit;
	MGTREFCLKP_117: linkage bit;
	MGTREFCLKP_118: linkage bit;
	MGTRREF_103: linkage bit;
	MGTRREF_104: linkage bit;
	MGTRREF_105: linkage bit;
	MGTRREF_113: linkage bit;
	MGTRREF_114: linkage bit;
	MGTRREF_115: linkage bit;
	MGTRXN0_103: in bit;
	MGTRXN0_104: in bit;
	MGTRXN0_105: in bit;
	MGTRXN0_106: in bit;
	MGTRXN0_107: in bit;
	MGTRXN0_108: in bit;
	MGTRXN0_113: in bit;
	MGTRXN0_114: in bit;
	MGTRXN0_115: in bit;
	MGTRXN0_116: in bit;
	MGTRXN0_117: in bit;
	MGTRXN0_118: in bit;
	MGTRXN1_103: in bit;
	MGTRXN1_104: in bit;
	MGTRXN1_105: in bit;
	MGTRXN1_106: in bit;
	MGTRXN1_107: in bit;
	MGTRXN1_108: in bit;
	MGTRXN1_113: in bit;
	MGTRXN1_114: in bit;
	MGTRXN1_115: in bit;
	MGTRXN1_116: in bit;
	MGTRXN1_117: in bit;
	MGTRXN1_118: in bit;
	MGTRXN2_103: in bit;
	MGTRXN2_104: in bit;
	MGTRXN2_105: in bit;
	MGTRXN2_106: in bit;
	MGTRXN2_107: in bit;
	MGTRXN2_108: in bit;
	MGTRXN2_113: in bit;
	MGTRXN2_114: in bit;
	MGTRXN2_115: in bit;
	MGTRXN2_116: in bit;
	MGTRXN2_117: in bit;
	MGTRXN2_118: in bit;
	MGTRXN3_103: in bit;
	MGTRXN3_104: in bit;
	MGTRXN3_105: in bit;
	MGTRXN3_106: in bit;
	MGTRXN3_107: in bit;
	MGTRXN3_108: in bit;
	MGTRXN3_113: in bit;
	MGTRXN3_114: in bit;
	MGTRXN3_115: in bit;
	MGTRXN3_116: in bit;
	MGTRXN3_117: in bit;
	MGTRXN3_118: in bit;
	MGTRXP0_103: in bit;
	MGTRXP0_104: in bit;
	MGTRXP0_105: in bit;
	MGTRXP0_106: in bit;
	MGTRXP0_107: in bit;
	MGTRXP0_108: in bit;
	MGTRXP0_113: in bit;
	MGTRXP0_114: in bit;
	MGTRXP0_115: in bit;
	MGTRXP0_116: in bit;
	MGTRXP0_117: in bit;
	MGTRXP0_118: in bit;
	MGTRXP1_103: in bit;
	MGTRXP1_104: in bit;
	MGTRXP1_105: in bit;
	MGTRXP1_106: in bit;
	MGTRXP1_107: in bit;
	MGTRXP1_108: in bit;
	MGTRXP1_113: in bit;
	MGTRXP1_114: in bit;
	MGTRXP1_115: in bit;
	MGTRXP1_116: in bit;
	MGTRXP1_117: in bit;
	MGTRXP1_118: in bit;
	MGTRXP2_103: in bit;
	MGTRXP2_104: in bit;
	MGTRXP2_105: in bit;
	MGTRXP2_106: in bit;
	MGTRXP2_107: in bit;
	MGTRXP2_108: in bit;
	MGTRXP2_113: in bit;
	MGTRXP2_114: in bit;
	MGTRXP2_115: in bit;
	MGTRXP2_116: in bit;
	MGTRXP2_117: in bit;
	MGTRXP2_118: in bit;
	MGTRXP3_103: in bit;
	MGTRXP3_104: in bit;
	MGTRXP3_105: in bit;
	MGTRXP3_106: in bit;
	MGTRXP3_107: in bit;
	MGTRXP3_108: in bit;
	MGTRXP3_113: in bit;
	MGTRXP3_114: in bit;
	MGTRXP3_115: in bit;
	MGTRXP3_116: in bit;
	MGTRXP3_117: in bit;
	MGTRXP3_118: in bit;
	MGTSLVDDARX0_106: linkage bit;
	MGTSLVDDARX0_107: linkage bit;
	MGTSLVDDARX0_108: linkage bit;
	MGTSLVDDARX0_116: linkage bit;
	MGTSLVDDARX0_117: linkage bit;
	MGTSLVDDARX0_118: linkage bit;
	MGTSLVDDARX1_106: linkage bit;
	MGTSLVDDARX1_107: linkage bit;
	MGTSLVDDARX1_108: linkage bit;
	MGTSLVDDARX1_116: linkage bit;
	MGTSLVDDARX1_117: linkage bit;
	MGTSLVDDARX1_118: linkage bit;
	MGTSLVTTX0_106: linkage bit;
	MGTSLVTTX0_107: linkage bit;
	MGTSLVTTX0_108: linkage bit;
	MGTSLVTTX0_116: linkage bit;
	MGTSLVTTX0_117: linkage bit;
	MGTSLVTTX0_118: linkage bit;
	MGTSLVTTX1_106: linkage bit;
	MGTSLVTTX1_107: linkage bit;
	MGTSLVTTX1_108: linkage bit;
	MGTSLVTTX1_116: linkage bit;
	MGTSLVTTX1_117: linkage bit;
	MGTSLVTTX1_118: linkage bit;
	MGTTXN0_103: buffer bit;
	MGTTXN0_104: buffer bit;
	MGTTXN0_105: buffer bit;
	MGTTXN0_106: buffer bit;
	MGTTXN0_107: buffer bit;
	MGTTXN0_108: buffer bit;
	MGTTXN0_113: buffer bit;
	MGTTXN0_114: buffer bit;
	MGTTXN0_115: buffer bit;
	MGTTXN0_116: buffer bit;
	MGTTXN0_117: buffer bit;
	MGTTXN0_118: buffer bit;
	MGTTXN1_103: buffer bit;
	MGTTXN1_104: buffer bit;
	MGTTXN1_105: buffer bit;
	MGTTXN1_106: buffer bit;
	MGTTXN1_107: buffer bit;
	MGTTXN1_108: buffer bit;
	MGTTXN1_113: buffer bit;
	MGTTXN1_114: buffer bit;
	MGTTXN1_115: buffer bit;
	MGTTXN1_116: buffer bit;
	MGTTXN1_117: buffer bit;
	MGTTXN1_118: buffer bit;
	MGTTXN2_103: buffer bit;
	MGTTXN2_104: buffer bit;
	MGTTXN2_105: buffer bit;
	MGTTXN2_106: buffer bit;
	MGTTXN2_107: buffer bit;
	MGTTXN2_108: buffer bit;
	MGTTXN2_113: buffer bit;
	MGTTXN2_114: buffer bit;
	MGTTXN2_115: buffer bit;
	MGTTXN2_116: buffer bit;
	MGTTXN2_117: buffer bit;
	MGTTXN2_118: buffer bit;
	MGTTXN3_103: buffer bit;
	MGTTXN3_104: buffer bit;
	MGTTXN3_105: buffer bit;
	MGTTXN3_106: buffer bit;
	MGTTXN3_107: buffer bit;
	MGTTXN3_108: buffer bit;
	MGTTXN3_113: buffer bit;
	MGTTXN3_114: buffer bit;
	MGTTXN3_115: buffer bit;
	MGTTXN3_116: buffer bit;
	MGTTXN3_117: buffer bit;
	MGTTXN3_118: buffer bit;
	MGTTXP0_103: buffer bit;
	MGTTXP0_104: buffer bit;
	MGTTXP0_105: buffer bit;
	MGTTXP0_106: buffer bit;
	MGTTXP0_107: buffer bit;
	MGTTXP0_108: buffer bit;
	MGTTXP0_113: buffer bit;
	MGTTXP0_114: buffer bit;
	MGTTXP0_115: buffer bit;
	MGTTXP0_116: buffer bit;
	MGTTXP0_117: buffer bit;
	MGTTXP0_118: buffer bit;
	MGTTXP1_103: buffer bit;
	MGTTXP1_104: buffer bit;
	MGTTXP1_105: buffer bit;
	MGTTXP1_106: buffer bit;
	MGTTXP1_107: buffer bit;
	MGTTXP1_108: buffer bit;
	MGTTXP1_113: buffer bit;
	MGTTXP1_114: buffer bit;
	MGTTXP1_115: buffer bit;
	MGTTXP1_116: buffer bit;
	MGTTXP1_117: buffer bit;
	MGTTXP1_118: buffer bit;
	MGTTXP2_103: buffer bit;
	MGTTXP2_104: buffer bit;
	MGTTXP2_105: buffer bit;
	MGTTXP2_106: buffer bit;
	MGTTXP2_107: buffer bit;
	MGTTXP2_108: buffer bit;
	MGTTXP2_113: buffer bit;
	MGTTXP2_114: buffer bit;
	MGTTXP2_115: buffer bit;
	MGTTXP2_116: buffer bit;
	MGTTXP2_117: buffer bit;
	MGTTXP2_118: buffer bit;
	MGTTXP3_103: buffer bit;
	MGTTXP3_104: buffer bit;
	MGTTXP3_105: buffer bit;
	MGTTXP3_106: buffer bit;
	MGTTXP3_107: buffer bit;
	MGTTXP3_108: buffer bit;
	MGTTXP3_113: buffer bit;
	MGTTXP3_114: buffer bit;
	MGTTXP3_115: buffer bit;
	MGTTXP3_116: buffer bit;
	MGTTXP3_117: buffer bit;
	MGTTXP3_118: buffer bit;
	MGTVDDA1P8_106: linkage bit;
	MGTVDDA1P8_107: linkage bit;
	MGTVDDA1P8_108: linkage bit;
	MGTVDDA1P8_116: linkage bit;
	MGTVDDA1P8_117: linkage bit;
	MGTVDDA1P8_118: linkage bit;
	MGTVDDPLL_106: linkage bit;
	MGTVDDPLL_107: linkage bit;
	MGTVDDPLL_108: linkage bit;
	MGTVDDPLL_116: linkage bit;
	MGTVDDPLL_117: linkage bit;
	MGTVDDPLL_118: linkage bit;
	MGTVDD_106: linkage bit;
	MGTVDD_107: linkage bit;
	MGTVDD_108: linkage bit;
	MGTVDD_116: linkage bit;
	MGTVDD_117: linkage bit;
	MGTVDD_118: linkage bit;
	MGTVSSA_106: linkage bit;
	MGTVSSA_107: linkage bit;
	MGTVSSA_108: linkage bit;
	MGTVSSA_116: linkage bit;
	MGTVSSA_117: linkage bit;
	MGTVSSA_118: linkage bit;
	PROGRAM_B: in bit; --  PROGRAM_B_0
	RDWR_B_0: in bit;
	TCK: in bit; --  TCK_0
	TDI: in bit; --  TDI_0
	TDN_0: linkage bit; --  DXN_0
	TDO: out bit; --  TDO_0
	TDP_0: linkage bit; --  DXP_0
	TMS: in bit; --  TMS_0
	VBATT_0: linkage bit;
	VCCAUX: linkage bit_vector (1 to 17);
	VCCINT: linkage bit;
	VCCO_0: linkage bit;
	VCCO_23: linkage bit;
	VCCO_24: linkage bit;
	VCCO_25: linkage bit;
	VCCO_26: linkage bit;
	VCCO_27: linkage bit;
	VCCO_28: linkage bit;
	VCCO_33: linkage bit;
	VCCO_34: linkage bit;
	VCCO_35: linkage bit;
	VCCO_36: linkage bit;
	VCCO_37: linkage bit;
	VCCO_38: linkage bit;
	VN_0: linkage bit;
	VP_0: linkage bit;
	VREFN_0: linkage bit;
	VREFP_0: linkage bit;
	IO_PAD1: inout bit; --  PAD1
	IO_PAD2: inout bit; --  PAD2
	IO_PAD3: inout bit; --  PAD3
	IO_PAD4: inout bit; --  PAD4
	IO_PAD5: inout bit; --  PAD5
	IO_PAD6: inout bit; --  PAD6
	IO_PAD7: inout bit; --  PAD7
	IO_PAD8: inout bit; --  PAD8
	IO_PAD9: inout bit; --  PAD9
	IO_PAD10: inout bit; --  PAD10
	IO_PAD11: inout bit; --  PAD11
	IO_PAD12: inout bit; --  PAD12
	IO_PAD13: inout bit; --  PAD13
	IO_PAD14: inout bit; --  PAD14
	IO_PAD15: inout bit; --  PAD15
	IO_PAD16: inout bit; --  PAD16
	IO_PAD17: inout bit; --  PAD17
	IO_PAD18: inout bit; --  PAD18
	IO_PAD19: inout bit; --  PAD19
	IO_PAD20: inout bit; --  PAD20
	IO_PAD21: inout bit; --  PAD21
	IO_PAD22: inout bit; --  PAD22
	IO_PAD23: inout bit; --  PAD23
	IO_PAD24: inout bit; --  PAD24
	IO_PAD25: inout bit; --  PAD25
	IO_PAD26: inout bit; --  PAD26
	IO_PAD27: inout bit; --  PAD27
	IO_PAD28: inout bit; --  PAD28
	IO_PAD29: inout bit; --  PAD29
	IO_PAD30: inout bit; --  PAD30
	IO_PAD31: inout bit; --  PAD31
	IO_PAD32: inout bit; --  PAD32
	IO_PAD33: inout bit; --  PAD33
	IO_PAD34: inout bit; --  PAD34
	IO_PAD35: inout bit; --  PAD35
	IO_PAD36: inout bit; --  PAD36
	IO_PAD37: inout bit; --  PAD37
	IO_PAD38: inout bit; --  PAD38
	IO_PAD39: inout bit; --  PAD39
	IO_PAD40: inout bit; --  PAD40
	IO_PAD41: inout bit; --  PAD41
	IO_PAD42: inout bit; --  PAD42
	IO_PAD43: inout bit; --  PAD43
	IO_PAD44: inout bit; --  PAD44
	IO_PAD45: inout bit; --  PAD45
	IO_PAD46: inout bit; --  PAD46
	IO_PAD47: inout bit; --  PAD47
	IO_PAD48: inout bit; --  PAD48
	IO_PAD49: inout bit; --  PAD49
	IO_PAD50: inout bit; --  PAD50
	IO_PAD51: inout bit; --  PAD51
	IO_PAD52: inout bit; --  PAD52
	IO_PAD53: inout bit; --  PAD53
	IO_PAD54: inout bit; --  PAD54
	IO_PAD55: inout bit; --  PAD55
	IO_PAD56: inout bit; --  PAD56
	IO_PAD57: inout bit; --  PAD57
	IO_PAD58: inout bit; --  PAD58
	IO_PAD59: inout bit; --  PAD59
	IO_PAD60: inout bit; --  PAD60
	IO_PAD61: inout bit; --  PAD61
	IO_PAD62: inout bit; --  PAD62
	IO_PAD63: inout bit; --  PAD63
	IO_PAD64: inout bit; --  PAD64
	IO_PAD65: inout bit; --  PAD65
	IO_PAD66: inout bit; --  PAD66
	IO_PAD67: inout bit; --  PAD67
	IO_PAD68: inout bit; --  PAD68
	IO_PAD69: inout bit; --  PAD69
	IO_PAD70: inout bit; --  PAD70
	IO_PAD71: inout bit; --  PAD71
	IO_PAD72: inout bit; --  PAD72
	IO_PAD73: inout bit; --  PAD73
	IO_PAD74: inout bit; --  PAD74
	IO_PAD75: inout bit; --  PAD75
	IO_PAD76: inout bit; --  PAD76
	IO_PAD77: inout bit; --  PAD77
	IO_PAD78: inout bit; --  PAD78
	IO_PAD79: inout bit; --  PAD79
	IO_PAD80: inout bit; --  PAD80
	IO_PAD81: inout bit; --  PAD81
	IO_PAD82: inout bit; --  PAD82
	IO_PAD83: inout bit; --  PAD83
	IO_PAD84: inout bit; --  PAD84
	IO_PAD85: inout bit; --  PAD85
	IO_PAD86: inout bit; --  PAD86
	IO_PAD87: inout bit; --  PAD87
	IO_PAD88: inout bit; --  PAD88
	IO_PAD89: inout bit; --  PAD89
	IO_PAD90: inout bit; --  PAD90
	IO_PAD91: inout bit; --  PAD91
	IO_PAD92: inout bit; --  PAD92
	IO_PAD93: inout bit; --  PAD93
	IO_PAD94: inout bit; --  PAD94
	IO_PAD95: inout bit; --  PAD95
	IO_PAD96: inout bit; --  PAD96
	IO_PAD97: inout bit; --  PAD97
	IO_PAD98: inout bit; --  PAD98
	IO_PAD99: inout bit; --  PAD99
	IO_PAD100: inout bit; --  PAD100
	IO_PAD101: inout bit; --  PAD101
	IO_PAD102: inout bit; --  PAD102
	IO_PAD103: inout bit; --  PAD103
	IO_PAD104: inout bit; --  PAD104
	IO_PAD105: inout bit; --  PAD105
	IO_PAD106: inout bit; --  PAD106
	IO_PAD107: inout bit; --  PAD107
	IO_PAD108: inout bit; --  PAD108
	IO_PAD109: inout bit; --  PAD109
	IO_PAD110: inout bit; --  PAD110
	IO_PAD111: inout bit; --  PAD111
	IO_PAD112: inout bit; --  PAD112
	IO_PAD113: inout bit; --  PAD113
	IO_PAD114: inout bit; --  PAD114
	IO_PAD115: inout bit; --  PAD115
	IO_PAD116: inout bit; --  PAD116
	IO_PAD117: inout bit; --  PAD117
	IO_PAD118: inout bit; --  PAD118
	IO_PAD119: inout bit; --  PAD119
	IO_PAD120: inout bit; --  PAD120
	IO_PAD121: inout bit; --  PAD121
	IO_PAD122: inout bit; --  PAD122
	IO_PAD123: inout bit; --  PAD123
	IO_PAD124: inout bit; --  PAD124
	IO_PAD125: inout bit; --  PAD125
	IO_PAD126: inout bit; --  PAD126
	IO_PAD127: inout bit; --  PAD127
	IO_PAD128: inout bit; --  PAD128
	IO_PAD129: inout bit; --  PAD129
	IO_PAD130: inout bit; --  PAD130
	IO_PAD131: inout bit; --  PAD131
	IO_PAD132: inout bit; --  PAD132
	IO_PAD133: inout bit; --  PAD133
	IO_PAD134: inout bit; --  PAD134
	IO_PAD135: inout bit; --  PAD135
	IO_PAD136: inout bit; --  PAD136
	IO_PAD137: inout bit; --  PAD137
	IO_PAD138: inout bit; --  PAD138
	IO_PAD139: inout bit; --  PAD139
	IO_PAD140: inout bit; --  PAD140
	IO_PAD141: inout bit; --  PAD141
	IO_PAD142: inout bit; --  PAD142
	IO_PAD143: inout bit; --  PAD143
	IO_PAD144: inout bit; --  PAD144
	IO_PAD145: inout bit; --  PAD145
	IO_PAD146: inout bit; --  PAD146
	IO_PAD147: inout bit; --  PAD147
	IO_PAD148: inout bit; --  PAD148
	IO_PAD149: inout bit; --  PAD149
	IO_PAD150: inout bit; --  PAD150
	IO_PAD151: inout bit; --  PAD151
	IO_PAD152: inout bit; --  PAD152
	IO_PAD153: inout bit; --  PAD153
	IO_PAD154: inout bit; --  PAD154
	IO_PAD155: inout bit; --  PAD155
	IO_PAD156: inout bit; --  PAD156
	IO_PAD157: inout bit; --  PAD157
	IO_PAD158: inout bit; --  PAD158
	IO_PAD159: inout bit; --  PAD159
	IO_PAD160: inout bit; --  PAD160
	IO_PAD161: inout bit; --  PAD161
	IO_PAD162: inout bit; --  PAD162
	IO_PAD163: inout bit; --  PAD163
	IO_PAD164: inout bit; --  PAD164
	IO_PAD165: inout bit; --  PAD165
	IO_PAD166: inout bit; --  PAD166
	IO_PAD167: inout bit; --  PAD167
	IO_PAD168: inout bit; --  PAD168
	IO_PAD169: inout bit; --  PAD169
	IO_PAD170: inout bit; --  PAD170
	IO_PAD171: inout bit; --  PAD171
	IO_PAD172: inout bit; --  PAD172
	IO_PAD173: inout bit; --  PAD173
	IO_PAD174: inout bit; --  PAD174
	IO_PAD175: inout bit; --  PAD175
	IO_PAD176: inout bit; --  PAD176
	IO_PAD177: inout bit; --  PAD177
	IO_PAD178: inout bit; --  PAD178
	IO_PAD179: inout bit; --  PAD179
	IO_PAD180: inout bit; --  PAD180
	IO_PAD181: inout bit; --  PAD181
	IO_PAD182: inout bit; --  PAD182
	IO_PAD183: inout bit; --  PAD183
	IO_PAD184: inout bit; --  PAD184
	IO_PAD185: inout bit; --  PAD185
	IO_PAD186: inout bit; --  PAD186
	IO_PAD187: inout bit; --  PAD187
	IO_PAD188: inout bit; --  PAD188
	IO_PAD189: inout bit; --  PAD189
	IO_PAD190: inout bit; --  PAD190
	IO_PAD191: inout bit; --  PAD191
	IO_PAD192: inout bit; --  PAD192
	IO_PAD193: inout bit; --  PAD193
	IO_PAD194: inout bit; --  PAD194
	IO_PAD195: inout bit; --  PAD195
	IO_PAD196: inout bit; --  PAD196
	IO_PAD197: inout bit; --  PAD197
	IO_PAD198: inout bit; --  PAD198
	IO_PAD199: inout bit; --  PAD199
	IO_PAD200: inout bit; --  PAD200
	IO_PAD201: inout bit; --  PAD201
	IO_PAD202: inout bit; --  PAD202
	IO_PAD203: inout bit; --  PAD203
	IO_PAD204: inout bit; --  PAD204
	IO_PAD205: inout bit; --  PAD205
	IO_PAD206: inout bit; --  PAD206
	IO_PAD207: inout bit; --  PAD207
	IO_PAD208: inout bit; --  PAD208
	IO_PAD209: inout bit; --  PAD209
	IO_PAD210: inout bit; --  PAD210
	IO_PAD211: inout bit; --  PAD211
	IO_PAD212: inout bit; --  PAD212
	IO_PAD213: inout bit; --  PAD213
	IO_PAD214: inout bit; --  PAD214
	IO_PAD215: inout bit; --  PAD215
	IO_PAD216: inout bit; --  PAD216
	IO_PAD217: inout bit; --  PAD217
	IO_PAD218: inout bit; --  PAD218
	IO_PAD219: inout bit; --  PAD219
	IO_PAD220: inout bit; --  PAD220
	IO_PAD221: inout bit; --  PAD221
	IO_PAD222: inout bit; --  PAD222
	IO_PAD223: inout bit; --  PAD223
	IO_PAD224: inout bit; --  PAD224
	IO_PAD225: inout bit; --  PAD225
	IO_PAD226: inout bit; --  PAD226
	IO_PAD227: inout bit; --  PAD227
	IO_PAD228: inout bit; --  PAD228
	IO_PAD229: inout bit; --  PAD229
	IO_PAD230: inout bit; --  PAD230
	IO_PAD231: inout bit; --  PAD231
	IO_PAD232: inout bit; --  PAD232
	IO_PAD233: inout bit; --  PAD233
	IO_PAD234: inout bit; --  PAD234
	IO_PAD235: inout bit; --  PAD235
	IO_PAD236: inout bit; --  PAD236
	IO_PAD237: inout bit; --  PAD237
	IO_PAD238: inout bit; --  PAD238
	IO_PAD239: inout bit; --  PAD239
	IO_PAD240: inout bit; --  PAD240
	IO_PAD241: inout bit; --  PAD241
	IO_PAD242: inout bit; --  PAD242
	IO_PAD243: inout bit; --  PAD243
	IO_PAD244: inout bit; --  PAD244
	IO_PAD245: inout bit; --  PAD245
	IO_PAD246: inout bit; --  PAD246
	IO_PAD247: inout bit; --  PAD247
	IO_PAD248: inout bit; --  PAD248
	IO_PAD249: inout bit; --  PAD249
	IO_PAD250: inout bit; --  PAD250
	IO_PAD251: inout bit; --  PAD251
	IO_PAD252: inout bit; --  PAD252
	IO_PAD253: inout bit; --  PAD253
	IO_PAD254: inout bit; --  PAD254
	IO_PAD255: inout bit; --  PAD255
	IO_PAD256: inout bit; --  PAD256
	IO_PAD257: inout bit; --  PAD257
	IO_PAD258: inout bit; --  PAD258
	IO_PAD259: inout bit; --  PAD259
	IO_PAD260: inout bit; --  PAD260
	IO_PAD261: inout bit; --  PAD261
	IO_PAD262: inout bit; --  PAD262
	IO_PAD263: inout bit; --  PAD263
	IO_PAD264: inout bit; --  PAD264
	IO_PAD265: inout bit; --  PAD265
	IO_PAD266: inout bit; --  PAD266
	IO_PAD267: inout bit; --  PAD267
	IO_PAD268: inout bit; --  PAD268
	IO_PAD269: inout bit; --  PAD269
	IO_PAD270: inout bit; --  PAD270
	IO_PAD271: inout bit; --  PAD271
	IO_PAD272: inout bit; --  PAD272
	IO_PAD273: inout bit; --  PAD273
	IO_PAD274: inout bit; --  PAD274
	IO_PAD275: inout bit; --  PAD275
	IO_PAD276: inout bit; --  PAD276
	IO_PAD277: inout bit; --  PAD277
	IO_PAD278: inout bit; --  PAD278
	IO_PAD279: inout bit; --  PAD279
	IO_PAD280: inout bit; --  PAD280
	IO_PAD281: inout bit; --  PAD281
	IO_PAD282: inout bit; --  PAD282
	IO_PAD283: inout bit; --  PAD283
	IO_PAD284: inout bit; --  PAD284
	IO_PAD285: inout bit; --  PAD285
	IO_PAD286: inout bit; --  PAD286
	IO_PAD287: inout bit; --  PAD287
	IO_PAD288: inout bit; --  PAD288
	IO_PAD289: inout bit; --  PAD289
	IO_PAD290: inout bit; --  PAD290
	IO_PAD291: inout bit; --  PAD291
	IO_PAD292: inout bit; --  PAD292
	IO_PAD293: inout bit; --  PAD293
	IO_PAD294: inout bit; --  PAD294
	IO_PAD295: inout bit; --  PAD295
	IO_PAD296: inout bit; --  PAD296
	IO_PAD297: inout bit; --  PAD297
	IO_PAD298: inout bit; --  PAD298
	IO_PAD299: inout bit; --  PAD299
	IO_PAD300: inout bit; --  PAD300
	IO_PAD301: inout bit; --  PAD301
	IO_PAD302: inout bit; --  PAD302
	IO_PAD303: inout bit; --  PAD303
	IO_PAD304: inout bit; --  PAD304
	IO_PAD305: inout bit; --  PAD305
	IO_PAD306: inout bit; --  PAD306
	IO_PAD307: inout bit; --  PAD307
	IO_PAD308: inout bit; --  PAD308
	IO_PAD309: inout bit; --  PAD309
	IO_PAD310: inout bit; --  PAD310
	IO_PAD311: inout bit; --  PAD311
	IO_PAD312: inout bit; --  PAD312
	IO_PAD313: inout bit; --  PAD313
	IO_PAD314: inout bit; --  PAD314
	IO_PAD315: inout bit; --  PAD315
	IO_PAD316: inout bit; --  PAD316
	IO_PAD317: inout bit; --  PAD317
	IO_PAD318: inout bit; --  PAD318
	IO_PAD319: inout bit; --  PAD319
	IO_PAD320: inout bit; --  PAD320
	IO_PAD321: inout bit; --  PAD321
	IO_PAD322: inout bit; --  PAD322
	IO_PAD323: inout bit; --  PAD323
	IO_PAD324: inout bit; --  PAD324
	IO_PAD325: inout bit; --  PAD325
	IO_PAD326: inout bit; --  PAD326
	IO_PAD327: inout bit; --  PAD327
	IO_PAD328: inout bit; --  PAD328
	IO_PAD329: inout bit; --  PAD329
	IO_PAD330: inout bit; --  PAD330
	IO_PAD331: inout bit; --  PAD331
	IO_PAD332: inout bit; --  PAD332
	IO_PAD333: inout bit; --  PAD333
	IO_PAD334: inout bit; --  PAD334
	IO_PAD335: inout bit; --  PAD335
	IO_PAD336: inout bit; --  PAD336
	IO_PAD337: inout bit; --  PAD337
	IO_PAD338: inout bit; --  PAD338
	IO_PAD339: inout bit; --  PAD339
	IO_PAD340: inout bit; --  PAD340
	IO_PAD341: inout bit; --  PAD341
	IO_PAD342: inout bit; --  PAD342
	IO_PAD343: inout bit; --  PAD343
	IO_PAD344: inout bit; --  PAD344
	IO_PAD345: inout bit; --  PAD345
	IO_PAD346: inout bit; --  PAD346
	IO_PAD347: inout bit; --  PAD347
	IO_PAD348: inout bit; --  PAD348
	IO_PAD349: inout bit; --  PAD349
	IO_PAD350: inout bit; --  PAD350
	IO_PAD351: inout bit; --  PAD351
	IO_PAD352: inout bit; --  PAD352
	IO_PAD353: inout bit; --  PAD353
	IO_PAD354: inout bit; --  PAD354
	IO_PAD355: inout bit; --  PAD355
	IO_PAD356: inout bit; --  PAD356
	IO_PAD357: inout bit; --  PAD357
	IO_PAD358: inout bit; --  PAD358
	IO_PAD359: inout bit; --  PAD359
	IO_PAD360: inout bit; --  PAD360
	IO_PAD361: inout bit; --  PAD361
	IO_PAD362: inout bit; --  PAD362
	IO_PAD363: inout bit; --  PAD363
	IO_PAD364: inout bit; --  PAD364
	IO_PAD365: inout bit; --  PAD365
	IO_PAD366: inout bit; --  PAD366
	IO_PAD367: inout bit; --  PAD367
	IO_PAD368: inout bit; --  PAD368
	IO_PAD369: inout bit; --  PAD369
	IO_PAD370: inout bit; --  PAD370
	IO_PAD371: inout bit; --  PAD371
	IO_PAD372: inout bit; --  PAD372
	IO_PAD373: inout bit; --  PAD373
	IO_PAD374: inout bit; --  PAD374
	IO_PAD375: inout bit; --  PAD375
	IO_PAD376: inout bit; --  PAD376
	IO_PAD377: inout bit; --  PAD377
	IO_PAD378: inout bit; --  PAD378
	IO_PAD379: inout bit; --  PAD379
	IO_PAD380: inout bit; --  PAD380
	IO_PAD381: inout bit; --  PAD381
	IO_PAD382: inout bit; --  PAD382
	IO_PAD383: inout bit; --  PAD383
	IO_PAD384: inout bit; --  PAD384
	IO_PAD385: inout bit; --  PAD385
	IO_PAD386: inout bit; --  PAD386
	IO_PAD387: inout bit; --  PAD387
	IO_PAD388: inout bit; --  PAD388
	IO_PAD389: inout bit; --  PAD389
	IO_PAD390: inout bit; --  PAD390
	IO_PAD391: inout bit; --  PAD391
	IO_PAD392: inout bit; --  PAD392
	IO_PAD393: inout bit; --  PAD393
	IO_PAD394: inout bit; --  PAD394
	IO_PAD395: inout bit; --  PAD395
	IO_PAD396: inout bit; --  PAD396
	IO_PAD397: inout bit; --  PAD397
	IO_PAD398: inout bit; --  PAD398
	IO_PAD399: inout bit; --  PAD399
	IO_PAD400: inout bit; --  PAD400
	IO_PAD401: inout bit; --  PAD401
	IO_PAD402: inout bit; --  PAD402
	IO_PAD403: inout bit; --  PAD403
	IO_PAD404: inout bit; --  PAD404
	IO_PAD405: inout bit; --  PAD405
	IO_PAD406: inout bit; --  PAD406
	IO_PAD407: inout bit; --  PAD407
	IO_PAD408: inout bit; --  PAD408
	IO_PAD409: inout bit; --  PAD409
	IO_PAD410: inout bit; --  PAD410
	IO_PAD411: inout bit; --  PAD411
	IO_PAD412: inout bit; --  PAD412
	IO_PAD413: inout bit; --  PAD413
	IO_PAD414: inout bit; --  PAD414
	IO_PAD415: inout bit; --  PAD415
	IO_PAD416: inout bit; --  PAD416
	IO_PAD417: inout bit; --  PAD417
	IO_PAD418: inout bit; --  PAD418
	IO_PAD419: inout bit; --  PAD419
	IO_PAD420: inout bit; --  PAD420
	IO_PAD421: inout bit; --  PAD421
	IO_PAD422: inout bit; --  PAD422
	IO_PAD423: inout bit; --  PAD423
	IO_PAD424: inout bit; --  PAD424
	IO_PAD425: inout bit; --  PAD425
	IO_PAD426: inout bit; --  PAD426
	IO_PAD427: inout bit; --  PAD427
	IO_PAD428: inout bit; --  PAD428
	IO_PAD429: inout bit; --  PAD429
	IO_PAD430: inout bit; --  PAD430
	IO_PAD431: inout bit; --  PAD431
	IO_PAD432: inout bit; --  PAD432
	IO_PAD433: inout bit; --  PAD433
	IO_PAD434: inout bit; --  PAD434
	IO_PAD435: inout bit; --  PAD435
	IO_PAD436: inout bit; --  PAD436
	IO_PAD437: inout bit; --  PAD437
	IO_PAD438: inout bit; --  PAD438
	IO_PAD439: inout bit; --  PAD439
	IO_PAD440: inout bit; --  PAD440
	IO_PAD441: inout bit; --  PAD441
	IO_PAD442: inout bit; --  PAD442
	IO_PAD443: inout bit; --  PAD443
	IO_PAD444: inout bit; --  PAD444
	IO_PAD445: inout bit; --  PAD445
	IO_PAD446: inout bit; --  PAD446
	IO_PAD447: inout bit; --  PAD447
	IO_PAD448: inout bit; --  PAD448
	IO_PAD449: inout bit; --  PAD449
	IO_PAD450: inout bit; --  PAD450
	IO_PAD451: inout bit; --  PAD451
	IO_PAD452: inout bit; --  PAD452
	IO_PAD453: inout bit; --  PAD453
	IO_PAD454: inout bit; --  PAD454
	IO_PAD455: inout bit; --  PAD455
	IO_PAD456: inout bit; --  PAD456
	IO_PAD457: inout bit; --  PAD457
	IO_PAD458: inout bit; --  PAD458
	IO_PAD459: inout bit; --  PAD459
	IO_PAD460: inout bit; --  PAD460
	IO_PAD461: inout bit; --  PAD461
	IO_PAD462: inout bit; --  PAD462
	IO_PAD463: inout bit; --  PAD463
	IO_PAD464: inout bit; --  PAD464
	IO_PAD465: inout bit; --  PAD465
	IO_PAD466: inout bit; --  PAD466
	IO_PAD467: inout bit; --  PAD467
	IO_PAD468: inout bit; --  PAD468
	IO_PAD469: inout bit; --  PAD469
	IO_PAD470: inout bit; --  PAD470
	IO_PAD471: inout bit; --  PAD471
	IO_PAD472: inout bit; --  PAD472
	IO_PAD473: inout bit; --  PAD473
	IO_PAD474: inout bit; --  PAD474
	IO_PAD475: inout bit; --  PAD475
	IO_PAD476: inout bit; --  PAD476
	IO_PAD477: inout bit; --  PAD477
	IO_PAD478: inout bit; --  PAD478
	IO_PAD479: inout bit; --  PAD479
	IO_PAD480: inout bit --  PAD480
); --end port list

-- Use Statements

use STD_1149_1_2001.all;
use STD_1149_6_2003.all;

-- Component Conformance Statement(s)

attribute COMPONENT_CONFORMANCE of XC6VHX255T : entity is
	"STD_1149_1_2001";

-- Device Package Pin Mappings

attribute PIN_MAP of XC6VHX255T : entity is PHYSICAL_PIN_MAP;

constant DIE_BOND: PIN_MAP_STRING:=
	"CCLK_0:BARE1," &
	"CSI_B_0:BARE2," &
	"DIN_0:BARE3," &
	"DONE_0:BARE4," &
	"DOUT_BUSY_0:BARE5," &
	"GND:BARE6," &
	"GND_MON:BARE7," &
	"GND_PLL_BOT53:BARE8," &
	"GND_PLL_BOT54:BARE9," &
	"GND_PLL_BOT55:BARE10," &
	"GND_PLL_BOT56:BARE11," &
	"GND_PLL_BOT57:BARE12," &
	"GND_PLL_BOT58:BARE13," &
	"GND_PLL_TOP53:BARE14," &
	"GND_PLL_TOP54:BARE15," &
	"GND_PLL_TOP55:BARE16," &
	"GND_PLL_TOP56:BARE17," &
	"GND_PLL_TOP57:BARE18," &
	"GND_PLL_TOP58:BARE19," &
	"HSWAPEN:BARE20," &
	"INIT_B_0:BARE21," &
	"M0_0:BARE22," &
	"M1_0:BARE23," &
	"M2_0:BARE24," &
	"MGTAMON_106:BARE25," &
	"MGTAMON_107:BARE26," &
	"MGTAMON_108:BARE27," &
	"MGTAMON_116:BARE28," &
	"MGTAMON_117:BARE29," &
	"MGTAMON_118:BARE30," &
	"MGTAVCC_103:BARE31," &
	"MGTAVCC_104:BARE32," &
	"MGTAVCC_105:BARE33," &
	"MGTAVCC_113:BARE34," &
	"MGTAVCC_114:BARE35," &
	"MGTAVCC_115:BARE36," &
	"MGTAVSS:BARE37," &
	"MGTAVSS_L:BARE38," &
	"MGTAVTTRCAL_103:BARE39," &
	"MGTAVTTRCAL_104:BARE40," &
	"MGTAVTTRCAL_105:BARE41," &
	"MGTAVTTRCAL_113:BARE42," &
	"MGTAVTTRCAL_114:BARE43," &
	"MGTAVTTRCAL_115:BARE44," &
	"MGTAVTTRX_103:BARE45," &
	"MGTAVTTRX_104:BARE46," &
	"MGTAVTTRX_105:BARE47," &
	"MGTAVTTRX_113:BARE48," &
	"MGTAVTTRX_114:BARE49," &
	"MGTAVTTRX_115:BARE50," &
	"MGTAVTTTX_103:BARE51," &
	"MGTAVTTTX_104:BARE52," &
	"MGTAVTTTX_105:BARE53," &
	"MGTAVTTTX_113:BARE54," &
	"MGTAVTTTX_114:BARE55," &
	"MGTAVTTTX_115:BARE56," &
	"MGTDMOND_106:BARE57," &
	"MGTDMOND_107:BARE58," &
	"MGTDMOND_108:BARE59," &
	"MGTDMOND_116:BARE60," &
	"MGTDMOND_117:BARE61," &
	"MGTDMOND_118:BARE62," &
	"MGTDMON_106:BARE63," &
	"MGTDMON_107:BARE64," &
	"MGTDMON_108:BARE65," &
	"MGTDMON_116:BARE66," &
	"MGTDMON_117:BARE67," &
	"MGTDMON_118:BARE68," &
	"MGTRBIAS_106:BARE69," &
	"MGTRBIAS_107:BARE70," &
	"MGTRBIAS_108:BARE71," &
	"MGTRBIAS_116:BARE72," &
	"MGTRBIAS_117:BARE73," &
	"MGTRBIAS_118:BARE74," &
	"MGTREFCLK0N_103:BARE75," &
	"MGTREFCLK0N_104:BARE76," &
	"MGTREFCLK0N_105:BARE77," &
	"MGTREFCLK0N_113:BARE78," &
	"MGTREFCLK0N_114:BARE79," &
	"MGTREFCLK0N_115:BARE80," &
	"MGTREFCLK0P_103:BARE81," &
	"MGTREFCLK0P_104:BARE82," &
	"MGTREFCLK0P_105:BARE83," &
	"MGTREFCLK0P_113:BARE84," &
	"MGTREFCLK0P_114:BARE85," &
	"MGTREFCLK0P_115:BARE86," &
	"MGTREFCLK1N_103:BARE87," &
	"MGTREFCLK1N_104:BARE88," &
	"MGTREFCLK1N_105:BARE89," &
	"MGTREFCLK1N_113:BARE90," &
	"MGTREFCLK1N_114:BARE91," &
	"MGTREFCLK1N_115:BARE92," &
	"MGTREFCLK1P_103:BARE93," &
	"MGTREFCLK1P_104:BARE94," &
	"MGTREFCLK1P_105:BARE95," &
	"MGTREFCLK1P_113:BARE96," &
	"MGTREFCLK1P_114:BARE97," &
	"MGTREFCLK1P_115:BARE98," &
	"MGTREFCLKN_106:BARE99," &
	"MGTREFCLKN_107:BARE100," &
	"MGTREFCLKN_108:BARE101," &
	"MGTREFCLKN_116:BARE102," &
	"MGTREFCLKN_117:BARE103," &
	"MGTREFCLKN_118:BARE104," &
	"MGTREFCLKP_106:BARE105," &
	"MGTREFCLKP_107:BARE106," &
	"MGTREFCLKP_108:BARE107," &
	"MGTREFCLKP_116:BARE108," &
	"MGTREFCLKP_117:BARE109," &
	"MGTREFCLKP_118:BARE110," &
	"MGTRREF_103:BARE111," &
	"MGTRREF_104:BARE112," &
	"MGTRREF_105:BARE113," &
	"MGTRREF_113:BARE114," &
	"MGTRREF_114:BARE115," &
	"MGTRREF_115:BARE116," &
	"MGTRXN0_103:BARE117," &
	"MGTRXN0_104:BARE118," &
	"MGTRXN0_105:BARE119," &
	"MGTRXN0_106:BARE120," &
	"MGTRXN0_107:BARE121," &
	"MGTRXN0_108:BARE122," &
	"MGTRXN0_113:BARE123," &
	"MGTRXN0_114:BARE124," &
	"MGTRXN0_115:BARE125," &
	"MGTRXN0_116:BARE126," &
	"MGTRXN0_117:BARE127," &
	"MGTRXN0_118:BARE128," &
	"MGTRXN1_103:BARE129," &
	"MGTRXN1_104:BARE130," &
	"MGTRXN1_105:BARE131," &
	"MGTRXN1_106:BARE132," &
	"MGTRXN1_107:BARE133," &
	"MGTRXN1_108:BARE134," &
	"MGTRXN1_113:BARE135," &
	"MGTRXN1_114:BARE136," &
	"MGTRXN1_115:BARE137," &
	"MGTRXN1_116:BARE138," &
	"MGTRXN1_117:BARE139," &
	"MGTRXN1_118:BARE140," &
	"MGTRXN2_103:BARE141," &
	"MGTRXN2_104:BARE142," &
	"MGTRXN2_105:BARE143," &
	"MGTRXN2_106:BARE144," &
	"MGTRXN2_107:BARE145," &
	"MGTRXN2_108:BARE146," &
	"MGTRXN2_113:BARE147," &
	"MGTRXN2_114:BARE148," &
	"MGTRXN2_115:BARE149," &
	"MGTRXN2_116:BARE150," &
	"MGTRXN2_117:BARE151," &
	"MGTRXN2_118:BARE152," &
	"MGTRXN3_103:BARE153," &
	"MGTRXN3_104:BARE154," &
	"MGTRXN3_105:BARE155," &
	"MGTRXN3_106:BARE156," &
	"MGTRXN3_107:BARE157," &
	"MGTRXN3_108:BARE158," &
	"MGTRXN3_113:BARE159," &
	"MGTRXN3_114:BARE160," &
	"MGTRXN3_115:BARE161," &
	"MGTRXN3_116:BARE162," &
	"MGTRXN3_117:BARE163," &
	"MGTRXN3_118:BARE164," &
	"MGTRXP0_103:BARE165," &
	"MGTRXP0_104:BARE166," &
	"MGTRXP0_105:BARE167," &
	"MGTRXP0_106:BARE168," &
	"MGTRXP0_107:BARE169," &
	"MGTRXP0_108:BARE170," &
	"MGTRXP0_113:BARE171," &
	"MGTRXP0_114:BARE172," &
	"MGTRXP0_115:BARE173," &
	"MGTRXP0_116:BARE174," &
	"MGTRXP0_117:BARE175," &
	"MGTRXP0_118:BARE176," &
	"MGTRXP1_103:BARE177," &
	"MGTRXP1_104:BARE178," &
	"MGTRXP1_105:BARE179," &
	"MGTRXP1_106:BARE180," &
	"MGTRXP1_107:BARE181," &
	"MGTRXP1_108:BARE182," &
	"MGTRXP1_113:BARE183," &
	"MGTRXP1_114:BARE184," &
	"MGTRXP1_115:BARE185," &
	"MGTRXP1_116:BARE186," &
	"MGTRXP1_117:BARE187," &
	"MGTRXP1_118:BARE188," &
	"MGTRXP2_103:BARE189," &
	"MGTRXP2_104:BARE190," &
	"MGTRXP2_105:BARE191," &
	"MGTRXP2_106:BARE192," &
	"MGTRXP2_107:BARE193," &
	"MGTRXP2_108:BARE194," &
	"MGTRXP2_113:BARE195," &
	"MGTRXP2_114:BARE196," &
	"MGTRXP2_115:BARE197," &
	"MGTRXP2_116:BARE198," &
	"MGTRXP2_117:BARE199," &
	"MGTRXP2_118:BARE200," &
	"MGTRXP3_103:BARE201," &
	"MGTRXP3_104:BARE202," &
	"MGTRXP3_105:BARE203," &
	"MGTRXP3_106:BARE204," &
	"MGTRXP3_107:BARE205," &
	"MGTRXP3_108:BARE206," &
	"MGTRXP3_113:BARE207," &
	"MGTRXP3_114:BARE208," &
	"MGTRXP3_115:BARE209," &
	"MGTRXP3_116:BARE210," &
	"MGTRXP3_117:BARE211," &
	"MGTRXP3_118:BARE212," &
	"MGTSLVDDARX0_106:BARE213," &
	"MGTSLVDDARX0_107:BARE214," &
	"MGTSLVDDARX0_108:BARE215," &
	"MGTSLVDDARX0_116:BARE216," &
	"MGTSLVDDARX0_117:BARE217," &
	"MGTSLVDDARX0_118:BARE218," &
	"MGTSLVDDARX1_106:BARE219," &
	"MGTSLVDDARX1_107:BARE220," &
	"MGTSLVDDARX1_108:BARE221," &
	"MGTSLVDDARX1_116:BARE222," &
	"MGTSLVDDARX1_117:BARE223," &
	"MGTSLVDDARX1_118:BARE224," &
	"MGTSLVTTX0_106:BARE225," &
	"MGTSLVTTX0_107:BARE226," &
	"MGTSLVTTX0_108:BARE227," &
	"MGTSLVTTX0_116:BARE228," &
	"MGTSLVTTX0_117:BARE229," &
	"MGTSLVTTX0_118:BARE230," &
	"MGTSLVTTX1_106:BARE231," &
	"MGTSLVTTX1_107:BARE232," &
	"MGTSLVTTX1_108:BARE233," &
	"MGTSLVTTX1_116:BARE234," &
	"MGTSLVTTX1_117:BARE235," &
	"MGTSLVTTX1_118:BARE236," &
	"MGTTXN0_103:BARE237," &
	"MGTTXN0_104:BARE238," &
	"MGTTXN0_105:BARE239," &
	"MGTTXN0_106:BARE240," &
	"MGTTXN0_107:BARE241," &
	"MGTTXN0_108:BARE242," &
	"MGTTXN0_113:BARE243," &
	"MGTTXN0_114:BARE244," &
	"MGTTXN0_115:BARE245," &
	"MGTTXN0_116:BARE246," &
	"MGTTXN0_117:BARE247," &
	"MGTTXN0_118:BARE248," &
	"MGTTXN1_103:BARE249," &
	"MGTTXN1_104:BARE250," &
	"MGTTXN1_105:BARE251," &
	"MGTTXN1_106:BARE252," &
	"MGTTXN1_107:BARE253," &
	"MGTTXN1_108:BARE254," &
	"MGTTXN1_113:BARE255," &
	"MGTTXN1_114:BARE256," &
	"MGTTXN1_115:BARE257," &
	"MGTTXN1_116:BARE258," &
	"MGTTXN1_117:BARE259," &
	"MGTTXN1_118:BARE260," &
	"MGTTXN2_103:BARE261," &
	"MGTTXN2_104:BARE262," &
	"MGTTXN2_105:BARE263," &
	"MGTTXN2_106:BARE264," &
	"MGTTXN2_107:BARE265," &
	"MGTTXN2_108:BARE266," &
	"MGTTXN2_113:BARE267," &
	"MGTTXN2_114:BARE268," &
	"MGTTXN2_115:BARE269," &
	"MGTTXN2_116:BARE270," &
	"MGTTXN2_117:BARE271," &
	"MGTTXN2_118:BARE272," &
	"MGTTXN3_103:BARE273," &
	"MGTTXN3_104:BARE274," &
	"MGTTXN3_105:BARE275," &
	"MGTTXN3_106:BARE276," &
	"MGTTXN3_107:BARE277," &
	"MGTTXN3_108:BARE278," &
	"MGTTXN3_113:BARE279," &
	"MGTTXN3_114:BARE280," &
	"MGTTXN3_115:BARE281," &
	"MGTTXN3_116:BARE282," &
	"MGTTXN3_117:BARE283," &
	"MGTTXN3_118:BARE284," &
	"MGTTXP0_103:BARE285," &
	"MGTTXP0_104:BARE286," &
	"MGTTXP0_105:BARE287," &
	"MGTTXP0_106:BARE288," &
	"MGTTXP0_107:BARE289," &
	"MGTTXP0_108:BARE290," &
	"MGTTXP0_113:BARE291," &
	"MGTTXP0_114:BARE292," &
	"MGTTXP0_115:BARE293," &
	"MGTTXP0_116:BARE294," &
	"MGTTXP0_117:BARE295," &
	"MGTTXP0_118:BARE296," &
	"MGTTXP1_103:BARE297," &
	"MGTTXP1_104:BARE298," &
	"MGTTXP1_105:BARE299," &
	"MGTTXP1_106:BARE300," &
	"MGTTXP1_107:BARE301," &
	"MGTTXP1_108:BARE302," &
	"MGTTXP1_113:BARE303," &
	"MGTTXP1_114:BARE304," &
	"MGTTXP1_115:BARE305," &
	"MGTTXP1_116:BARE306," &
	"MGTTXP1_117:BARE307," &
	"MGTTXP1_118:BARE308," &
	"MGTTXP2_103:BARE309," &
	"MGTTXP2_104:BARE310," &
	"MGTTXP2_105:BARE311," &
	"MGTTXP2_106:BARE312," &
	"MGTTXP2_107:BARE313," &
	"MGTTXP2_108:BARE314," &
	"MGTTXP2_113:BARE315," &
	"MGTTXP2_114:BARE316," &
	"MGTTXP2_115:BARE317," &
	"MGTTXP2_116:BARE318," &
	"MGTTXP2_117:BARE319," &
	"MGTTXP2_118:BARE320," &
	"MGTTXP3_103:BARE321," &
	"MGTTXP3_104:BARE322," &
	"MGTTXP3_105:BARE323," &
	"MGTTXP3_106:BARE324," &
	"MGTTXP3_107:BARE325," &
	"MGTTXP3_108:BARE326," &
	"MGTTXP3_113:BARE327," &
	"MGTTXP3_114:BARE328," &
	"MGTTXP3_115:BARE329," &
	"MGTTXP3_116:BARE330," &
	"MGTTXP3_117:BARE331," &
	"MGTTXP3_118:BARE332," &
	"MGTVDDA1P8_106:BARE333," &
	"MGTVDDA1P8_107:BARE334," &
	"MGTVDDA1P8_108:BARE335," &
	"MGTVDDA1P8_116:BARE336," &
	"MGTVDDA1P8_117:BARE337," &
	"MGTVDDA1P8_118:BARE338," &
	"MGTVDDPLL_106:BARE339," &
	"MGTVDDPLL_107:BARE340," &
	"MGTVDDPLL_108:BARE341," &
	"MGTVDDPLL_116:BARE342," &
	"MGTVDDPLL_117:BARE343," &
	"MGTVDDPLL_118:BARE344," &
	"MGTVDD_106:BARE345," &
	"MGTVDD_107:BARE346," &
	"MGTVDD_108:BARE347," &
	"MGTVDD_116:BARE348," &
	"MGTVDD_117:BARE349," &
	"MGTVDD_118:BARE350," &
	"MGTVSSA_106:BARE351," &
	"MGTVSSA_107:BARE352," &
	"MGTVSSA_108:BARE353," &
	"MGTVSSA_116:BARE354," &
	"MGTVSSA_117:BARE355," &
	"MGTVSSA_118:BARE356," &
	"PROGRAM_B:BARE357," &
	"RDWR_B_0:BARE358," &
	"TCK:BARE359," &
	"TDI:BARE360," &
	"TDN_0:BARE361," &
	"TDO:BARE362," &
	"TDP_0:BARE363," &
	"TMS:BARE364," &
	"VBATT_0:BARE365," &
	"VCCAUX:(BARE366,BARE367,BARE368,BARE369,BARE370,BARE371,BARE372,BARE373,BARE374,BARE375," &
		"BARE376,BARE377,BARE378,BARE379,BARE380,BARE381,BARE382)," &
	"VCCINT:BARE383," &
	"VCCO_0:BARE384," &
	"VCCO_23:BARE385," &
	"VCCO_24:BARE386," &
	"VCCO_25:BARE387," &
	"VCCO_26:BARE388," &
	"VCCO_27:BARE389," &
	"VCCO_28:BARE390," &
	"VCCO_33:BARE391," &
	"VCCO_34:BARE392," &
	"VCCO_35:BARE393," &
	"VCCO_36:BARE394," &
	"VCCO_37:BARE395," &
	"VCCO_38:BARE396," &
	"VN_0:BARE397," &
	"VP_0:BARE398," &
	"VREFN_0:BARE399," &
	"VREFP_0:BARE400," &
	"IO_PAD1:BARE401," &
	"IO_PAD2:BARE402," &
	"IO_PAD3:BARE403," &
	"IO_PAD4:BARE404," &
	"IO_PAD5:BARE405," &
	"IO_PAD6:BARE406," &
	"IO_PAD7:BARE407," &
	"IO_PAD8:BARE408," &
	"IO_PAD9:BARE409," &
	"IO_PAD10:BARE410," &
	"IO_PAD11:BARE411," &
	"IO_PAD12:BARE412," &
	"IO_PAD13:BARE413," &
	"IO_PAD14:BARE414," &
	"IO_PAD15:BARE415," &
	"IO_PAD16:BARE416," &
	"IO_PAD17:BARE417," &
	"IO_PAD18:BARE418," &
	"IO_PAD19:BARE419," &
	"IO_PAD20:BARE420," &
	"IO_PAD21:BARE421," &
	"IO_PAD22:BARE422," &
	"IO_PAD23:BARE423," &
	"IO_PAD24:BARE424," &
	"IO_PAD25:BARE425," &
	"IO_PAD26:BARE426," &
	"IO_PAD27:BARE427," &
	"IO_PAD28:BARE428," &
	"IO_PAD29:BARE429," &
	"IO_PAD30:BARE430," &
	"IO_PAD31:BARE431," &
	"IO_PAD32:BARE432," &
	"IO_PAD33:BARE433," &
	"IO_PAD34:BARE434," &
	"IO_PAD35:BARE435," &
	"IO_PAD36:BARE436," &
	"IO_PAD37:BARE437," &
	"IO_PAD38:BARE438," &
	"IO_PAD39:BARE439," &
	"IO_PAD40:BARE440," &
	"IO_PAD41:BARE441," &
	"IO_PAD42:BARE442," &
	"IO_PAD43:BARE443," &
	"IO_PAD44:BARE444," &
	"IO_PAD45:BARE445," &
	"IO_PAD46:BARE446," &
	"IO_PAD47:BARE447," &
	"IO_PAD48:BARE448," &
	"IO_PAD49:BARE449," &
	"IO_PAD50:BARE450," &
	"IO_PAD51:BARE451," &
	"IO_PAD52:BARE452," &
	"IO_PAD53:BARE453," &
	"IO_PAD54:BARE454," &
	"IO_PAD55:BARE455," &
	"IO_PAD56:BARE456," &
	"IO_PAD57:BARE457," &
	"IO_PAD58:BARE458," &
	"IO_PAD59:BARE459," &
	"IO_PAD60:BARE460," &
	"IO_PAD61:BARE461," &
	"IO_PAD62:BARE462," &
	"IO_PAD63:BARE463," &
	"IO_PAD64:BARE464," &
	"IO_PAD65:BARE465," &
	"IO_PAD66:BARE466," &
	"IO_PAD67:BARE467," &
	"IO_PAD68:BARE468," &
	"IO_PAD69:BARE469," &
	"IO_PAD70:BARE470," &
	"IO_PAD71:BARE471," &
	"IO_PAD72:BARE472," &
	"IO_PAD73:BARE473," &
	"IO_PAD74:BARE474," &
	"IO_PAD75:BARE475," &
	"IO_PAD76:BARE476," &
	"IO_PAD77:BARE477," &
	"IO_PAD78:BARE478," &
	"IO_PAD79:BARE479," &
	"IO_PAD80:BARE480," &
	"IO_PAD81:BARE481," &
	"IO_PAD82:BARE482," &
	"IO_PAD83:BARE483," &
	"IO_PAD84:BARE484," &
	"IO_PAD85:BARE485," &
	"IO_PAD86:BARE486," &
	"IO_PAD87:BARE487," &
	"IO_PAD88:BARE488," &
	"IO_PAD89:BARE489," &
	"IO_PAD90:BARE490," &
	"IO_PAD91:BARE491," &
	"IO_PAD92:BARE492," &
	"IO_PAD93:BARE493," &
	"IO_PAD94:BARE494," &
	"IO_PAD95:BARE495," &
	"IO_PAD96:BARE496," &
	"IO_PAD97:BARE497," &
	"IO_PAD98:BARE498," &
	"IO_PAD99:BARE499," &
	"IO_PAD100:BARE500," &
	"IO_PAD101:BARE501," &
	"IO_PAD102:BARE502," &
	"IO_PAD103:BARE503," &
	"IO_PAD104:BARE504," &
	"IO_PAD105:BARE505," &
	"IO_PAD106:BARE506," &
	"IO_PAD107:BARE507," &
	"IO_PAD108:BARE508," &
	"IO_PAD109:BARE509," &
	"IO_PAD110:BARE510," &
	"IO_PAD111:BARE511," &
	"IO_PAD112:BARE512," &
	"IO_PAD113:BARE513," &
	"IO_PAD114:BARE514," &
	"IO_PAD115:BARE515," &
	"IO_PAD116:BARE516," &
	"IO_PAD117:BARE517," &
	"IO_PAD118:BARE518," &
	"IO_PAD119:BARE519," &
	"IO_PAD120:BARE520," &
	"IO_PAD121:BARE521," &
	"IO_PAD122:BARE522," &
	"IO_PAD123:BARE523," &
	"IO_PAD124:BARE524," &
	"IO_PAD125:BARE525," &
	"IO_PAD126:BARE526," &
	"IO_PAD127:BARE527," &
	"IO_PAD128:BARE528," &
	"IO_PAD129:BARE529," &
	"IO_PAD130:BARE530," &
	"IO_PAD131:BARE531," &
	"IO_PAD132:BARE532," &
	"IO_PAD133:BARE533," &
	"IO_PAD134:BARE534," &
	"IO_PAD135:BARE535," &
	"IO_PAD136:BARE536," &
	"IO_PAD137:BARE537," &
	"IO_PAD138:BARE538," &
	"IO_PAD139:BARE539," &
	"IO_PAD140:BARE540," &
	"IO_PAD141:BARE541," &
	"IO_PAD142:BARE542," &
	"IO_PAD143:BARE543," &
	"IO_PAD144:BARE544," &
	"IO_PAD145:BARE545," &
	"IO_PAD146:BARE546," &
	"IO_PAD147:BARE547," &
	"IO_PAD148:BARE548," &
	"IO_PAD149:BARE549," &
	"IO_PAD150:BARE550," &
	"IO_PAD151:BARE551," &
	"IO_PAD152:BARE552," &
	"IO_PAD153:BARE553," &
	"IO_PAD154:BARE554," &
	"IO_PAD155:BARE555," &
	"IO_PAD156:BARE556," &
	"IO_PAD157:BARE557," &
	"IO_PAD158:BARE558," &
	"IO_PAD159:BARE559," &
	"IO_PAD160:BARE560," &
	"IO_PAD161:BARE561," &
	"IO_PAD162:BARE562," &
	"IO_PAD163:BARE563," &
	"IO_PAD164:BARE564," &
	"IO_PAD165:BARE565," &
	"IO_PAD166:BARE566," &
	"IO_PAD167:BARE567," &
	"IO_PAD168:BARE568," &
	"IO_PAD169:BARE569," &
	"IO_PAD170:BARE570," &
	"IO_PAD171:BARE571," &
	"IO_PAD172:BARE572," &
	"IO_PAD173:BARE573," &
	"IO_PAD174:BARE574," &
	"IO_PAD175:BARE575," &
	"IO_PAD176:BARE576," &
	"IO_PAD177:BARE577," &
	"IO_PAD178:BARE578," &
	"IO_PAD179:BARE579," &
	"IO_PAD180:BARE580," &
	"IO_PAD181:BARE581," &
	"IO_PAD182:BARE582," &
	"IO_PAD183:BARE583," &
	"IO_PAD184:BARE584," &
	"IO_PAD185:BARE585," &
	"IO_PAD186:BARE586," &
	"IO_PAD187:BARE587," &
	"IO_PAD188:BARE588," &
	"IO_PAD189:BARE589," &
	"IO_PAD190:BARE590," &
	"IO_PAD191:BARE591," &
	"IO_PAD192:BARE592," &
	"IO_PAD193:BARE593," &
	"IO_PAD194:BARE594," &
	"IO_PAD195:BARE595," &
	"IO_PAD196:BARE596," &
	"IO_PAD197:BARE597," &
	"IO_PAD198:BARE598," &
	"IO_PAD199:BARE599," &
	"IO_PAD200:BARE600," &
	"IO_PAD201:BARE601," &
	"IO_PAD202:BARE602," &
	"IO_PAD203:BARE603," &
	"IO_PAD204:BARE604," &
	"IO_PAD205:BARE605," &
	"IO_PAD206:BARE606," &
	"IO_PAD207:BARE607," &
	"IO_PAD208:BARE608," &
	"IO_PAD209:BARE609," &
	"IO_PAD210:BARE610," &
	"IO_PAD211:BARE611," &
	"IO_PAD212:BARE612," &
	"IO_PAD213:BARE613," &
	"IO_PAD214:BARE614," &
	"IO_PAD215:BARE615," &
	"IO_PAD216:BARE616," &
	"IO_PAD217:BARE617," &
	"IO_PAD218:BARE618," &
	"IO_PAD219:BARE619," &
	"IO_PAD220:BARE620," &
	"IO_PAD221:BARE621," &
	"IO_PAD222:BARE622," &
	"IO_PAD223:BARE623," &
	"IO_PAD224:BARE624," &
	"IO_PAD225:BARE625," &
	"IO_PAD226:BARE626," &
	"IO_PAD227:BARE627," &
	"IO_PAD228:BARE628," &
	"IO_PAD229:BARE629," &
	"IO_PAD230:BARE630," &
	"IO_PAD231:BARE631," &
	"IO_PAD232:BARE632," &
	"IO_PAD233:BARE633," &
	"IO_PAD234:BARE634," &
	"IO_PAD235:BARE635," &
	"IO_PAD236:BARE636," &
	"IO_PAD237:BARE637," &
	"IO_PAD238:BARE638," &
	"IO_PAD239:BARE639," &
	"IO_PAD240:BARE640," &
	"IO_PAD241:BARE641," &
	"IO_PAD242:BARE642," &
	"IO_PAD243:BARE643," &
	"IO_PAD244:BARE644," &
	"IO_PAD245:BARE645," &
	"IO_PAD246:BARE646," &
	"IO_PAD247:BARE647," &
	"IO_PAD248:BARE648," &
	"IO_PAD249:BARE649," &
	"IO_PAD250:BARE650," &
	"IO_PAD251:BARE651," &
	"IO_PAD252:BARE652," &
	"IO_PAD253:BARE653," &
	"IO_PAD254:BARE654," &
	"IO_PAD255:BARE655," &
	"IO_PAD256:BARE656," &
	"IO_PAD257:BARE657," &
	"IO_PAD258:BARE658," &
	"IO_PAD259:BARE659," &
	"IO_PAD260:BARE660," &
	"IO_PAD261:BARE661," &
	"IO_PAD262:BARE662," &
	"IO_PAD263:BARE663," &
	"IO_PAD264:BARE664," &
	"IO_PAD265:BARE665," &
	"IO_PAD266:BARE666," &
	"IO_PAD267:BARE667," &
	"IO_PAD268:BARE668," &
	"IO_PAD269:BARE669," &
	"IO_PAD270:BARE670," &
	"IO_PAD271:BARE671," &
	"IO_PAD272:BARE672," &
	"IO_PAD273:BARE673," &
	"IO_PAD274:BARE674," &
	"IO_PAD275:BARE675," &
	"IO_PAD276:BARE676," &
	"IO_PAD277:BARE677," &
	"IO_PAD278:BARE678," &
	"IO_PAD279:BARE679," &
	"IO_PAD280:BARE680," &
	"IO_PAD281:BARE681," &
	"IO_PAD282:BARE682," &
	"IO_PAD283:BARE683," &
	"IO_PAD284:BARE684," &
	"IO_PAD285:BARE685," &
	"IO_PAD286:BARE686," &
	"IO_PAD287:BARE687," &
	"IO_PAD288:BARE688," &
	"IO_PAD289:BARE689," &
	"IO_PAD290:BARE690," &
	"IO_PAD291:BARE691," &
	"IO_PAD292:BARE692," &
	"IO_PAD293:BARE693," &
	"IO_PAD294:BARE694," &
	"IO_PAD295:BARE695," &
	"IO_PAD296:BARE696," &
	"IO_PAD297:BARE697," &
	"IO_PAD298:BARE698," &
	"IO_PAD299:BARE699," &
	"IO_PAD300:BARE700," &
	"IO_PAD301:BARE701," &
	"IO_PAD302:BARE702," &
	"IO_PAD303:BARE703," &
	"IO_PAD304:BARE704," &
	"IO_PAD305:BARE705," &
	"IO_PAD306:BARE706," &
	"IO_PAD307:BARE707," &
	"IO_PAD308:BARE708," &
	"IO_PAD309:BARE709," &
	"IO_PAD310:BARE710," &
	"IO_PAD311:BARE711," &
	"IO_PAD312:BARE712," &
	"IO_PAD313:BARE713," &
	"IO_PAD314:BARE714," &
	"IO_PAD315:BARE715," &
	"IO_PAD316:BARE716," &
	"IO_PAD317:BARE717," &
	"IO_PAD318:BARE718," &
	"IO_PAD319:BARE719," &
	"IO_PAD320:BARE720," &
	"IO_PAD321:BARE721," &
	"IO_PAD322:BARE722," &
	"IO_PAD323:BARE723," &
	"IO_PAD324:BARE724," &
	"IO_PAD325:BARE725," &
	"IO_PAD326:BARE726," &
	"IO_PAD327:BARE727," &
	"IO_PAD328:BARE728," &
	"IO_PAD329:BARE729," &
	"IO_PAD330:BARE730," &
	"IO_PAD331:BARE731," &
	"IO_PAD332:BARE732," &
	"IO_PAD333:BARE733," &
	"IO_PAD334:BARE734," &
	"IO_PAD335:BARE735," &
	"IO_PAD336:BARE736," &
	"IO_PAD337:BARE737," &
	"IO_PAD338:BARE738," &
	"IO_PAD339:BARE739," &
	"IO_PAD340:BARE740," &
	"IO_PAD341:BARE741," &
	"IO_PAD342:BARE742," &
	"IO_PAD343:BARE743," &
	"IO_PAD344:BARE744," &
	"IO_PAD345:BARE745," &
	"IO_PAD346:BARE746," &
	"IO_PAD347:BARE747," &
	"IO_PAD348:BARE748," &
	"IO_PAD349:BARE749," &
	"IO_PAD350:BARE750," &
	"IO_PAD351:BARE751," &
	"IO_PAD352:BARE752," &
	"IO_PAD353:BARE753," &
	"IO_PAD354:BARE754," &
	"IO_PAD355:BARE755," &
	"IO_PAD356:BARE756," &
	"IO_PAD357:BARE757," &
	"IO_PAD358:BARE758," &
	"IO_PAD359:BARE759," &
	"IO_PAD360:BARE760," &
	"IO_PAD361:BARE761," &
	"IO_PAD362:BARE762," &
	"IO_PAD363:BARE763," &
	"IO_PAD364:BARE764," &
	"IO_PAD365:BARE765," &
	"IO_PAD366:BARE766," &
	"IO_PAD367:BARE767," &
	"IO_PAD368:BARE768," &
	"IO_PAD369:BARE769," &
	"IO_PAD370:BARE770," &
	"IO_PAD371:BARE771," &
	"IO_PAD372:BARE772," &
	"IO_PAD373:BARE773," &
	"IO_PAD374:BARE774," &
	"IO_PAD375:BARE775," &
	"IO_PAD376:BARE776," &
	"IO_PAD377:BARE777," &
	"IO_PAD378:BARE778," &
	"IO_PAD379:BARE779," &
	"IO_PAD380:BARE780," &
	"IO_PAD381:BARE781," &
	"IO_PAD382:BARE782," &
	"IO_PAD383:BARE783," &
	"IO_PAD384:BARE784," &
	"IO_PAD385:BARE785," &
	"IO_PAD386:BARE786," &
	"IO_PAD387:BARE787," &
	"IO_PAD388:BARE788," &
	"IO_PAD389:BARE789," &
	"IO_PAD390:BARE790," &
	"IO_PAD391:BARE791," &
	"IO_PAD392:BARE792," &
	"IO_PAD393:BARE793," &
	"IO_PAD394:BARE794," &
	"IO_PAD395:BARE795," &
	"IO_PAD396:BARE796," &
	"IO_PAD397:BARE797," &
	"IO_PAD398:BARE798," &
	"IO_PAD399:BARE799," &
	"IO_PAD400:BARE800," &
	"IO_PAD401:BARE801," &
	"IO_PAD402:BARE802," &
	"IO_PAD403:BARE803," &
	"IO_PAD404:BARE804," &
	"IO_PAD405:BARE805," &
	"IO_PAD406:BARE806," &
	"IO_PAD407:BARE807," &
	"IO_PAD408:BARE808," &
	"IO_PAD409:BARE809," &
	"IO_PAD410:BARE810," &
	"IO_PAD411:BARE811," &
	"IO_PAD412:BARE812," &
	"IO_PAD413:BARE813," &
	"IO_PAD414:BARE814," &
	"IO_PAD415:BARE815," &
	"IO_PAD416:BARE816," &
	"IO_PAD417:BARE817," &
	"IO_PAD418:BARE818," &
	"IO_PAD419:BARE819," &
	"IO_PAD420:BARE820," &
	"IO_PAD421:BARE821," &
	"IO_PAD422:BARE822," &
	"IO_PAD423:BARE823," &
	"IO_PAD424:BARE824," &
	"IO_PAD425:BARE825," &
	"IO_PAD426:BARE826," &
	"IO_PAD427:BARE827," &
	"IO_PAD428:BARE828," &
	"IO_PAD429:BARE829," &
	"IO_PAD430:BARE830," &
	"IO_PAD431:BARE831," &
	"IO_PAD432:BARE832," &
	"IO_PAD433:BARE833," &
	"IO_PAD434:BARE834," &
	"IO_PAD435:BARE835," &
	"IO_PAD436:BARE836," &
	"IO_PAD437:BARE837," &
	"IO_PAD438:BARE838," &
	"IO_PAD439:BARE839," &
	"IO_PAD440:BARE840," &
	"IO_PAD441:BARE841," &
	"IO_PAD442:BARE842," &
	"IO_PAD443:BARE843," &
	"IO_PAD444:BARE844," &
	"IO_PAD445:BARE845," &
	"IO_PAD446:BARE846," &
	"IO_PAD447:BARE847," &
	"IO_PAD448:BARE848," &
	"IO_PAD449:BARE849," &
	"IO_PAD450:BARE850," &
	"IO_PAD451:BARE851," &
	"IO_PAD452:BARE852," &
	"IO_PAD453:BARE853," &
	"IO_PAD454:BARE854," &
	"IO_PAD455:BARE855," &
	"IO_PAD456:BARE856," &
	"IO_PAD457:BARE857," &
	"IO_PAD458:BARE858," &
	"IO_PAD459:BARE859," &
	"IO_PAD460:BARE860," &
	"IO_PAD461:BARE861," &
	"IO_PAD462:BARE862," &
	"IO_PAD463:BARE863," &
	"IO_PAD464:BARE864," &
	"IO_PAD465:BARE865," &
	"IO_PAD466:BARE866," &
	"IO_PAD467:BARE867," &
	"IO_PAD468:BARE868," &
	"IO_PAD469:BARE869," &
	"IO_PAD470:BARE870," &
	"IO_PAD471:BARE871," &
	"IO_PAD472:BARE872," &
	"IO_PAD473:BARE873," &
	"IO_PAD474:BARE874," &
	"IO_PAD475:BARE875," &
	"IO_PAD476:BARE876," &
	"IO_PAD477:BARE877," &
	"IO_PAD478:BARE878," &
	"IO_PAD479:BARE879," &
	"IO_PAD480:BARE880";


-- Grouped Port Identification

attribute PORT_GROUPING of XC6VHX255T : entity is
"DIFFERENTIAL_VOLTAGE (" &
"(MGTRXP0_103, MGTRXN0_103), " &
"(MGTRXP0_104, MGTRXN0_104), " &
"(MGTRXP0_105, MGTRXN0_105), " &
"(MGTRXP0_106, MGTRXN0_106), " &
"(MGTRXP0_107, MGTRXN0_107), " &
"(MGTRXP0_108, MGTRXN0_108), " &
"(MGTRXP0_113, MGTRXN0_113), " &
"(MGTRXP0_114, MGTRXN0_114), " &
"(MGTRXP0_115, MGTRXN0_115), " &
"(MGTRXP0_116, MGTRXN0_116), " &
"(MGTRXP0_117, MGTRXN0_117), " &
"(MGTRXP0_118, MGTRXN0_118), " &
"(MGTRXP1_103, MGTRXN1_103), " &
"(MGTRXP1_104, MGTRXN1_104), " &
"(MGTRXP1_105, MGTRXN1_105), " &
"(MGTRXP1_106, MGTRXN1_106), " &
"(MGTRXP1_107, MGTRXN1_107), " &
"(MGTRXP1_108, MGTRXN1_108), " &
"(MGTRXP1_113, MGTRXN1_113), " &
"(MGTRXP1_114, MGTRXN1_114), " &
"(MGTRXP1_115, MGTRXN1_115), " &
"(MGTRXP1_116, MGTRXN1_116), " &
"(MGTRXP1_117, MGTRXN1_117), " &
"(MGTRXP1_118, MGTRXN1_118), " &
"(MGTRXP2_103, MGTRXN2_103), " &
"(MGTRXP2_104, MGTRXN2_104), " &
"(MGTRXP2_105, MGTRXN2_105), " &
"(MGTRXP2_106, MGTRXN2_106), " &
"(MGTRXP2_107, MGTRXN2_107), " &
"(MGTRXP2_108, MGTRXN2_108), " &
"(MGTRXP2_113, MGTRXN2_113), " &
"(MGTRXP2_114, MGTRXN2_114), " &
"(MGTRXP2_115, MGTRXN2_115), " &
"(MGTRXP2_116, MGTRXN2_116), " &
"(MGTRXP2_117, MGTRXN2_117), " &
"(MGTRXP2_118, MGTRXN2_118), " &
"(MGTRXP3_103, MGTRXN3_103), " &
"(MGTRXP3_104, MGTRXN3_104), " &
"(MGTRXP3_105, MGTRXN3_105), " &
"(MGTRXP3_106, MGTRXN3_106), " &
"(MGTRXP3_107, MGTRXN3_107), " &
"(MGTRXP3_108, MGTRXN3_108), " &
"(MGTRXP3_113, MGTRXN3_113), " &
"(MGTRXP3_114, MGTRXN3_114), " &
"(MGTRXP3_115, MGTRXN3_115), " &
"(MGTRXP3_116, MGTRXN3_116), " &
"(MGTRXP3_117, MGTRXN3_117), " &
"(MGTRXP3_118, MGTRXN3_118), " &
"(MGTTXP0_103, MGTTXN0_103), " &
"(MGTTXP0_104, MGTTXN0_104), " &
"(MGTTXP0_105, MGTTXN0_105), " &
"(MGTTXP0_106, MGTTXN0_106), " &
"(MGTTXP0_107, MGTTXN0_107), " &
"(MGTTXP0_108, MGTTXN0_108), " &
"(MGTTXP0_113, MGTTXN0_113), " &
"(MGTTXP0_114, MGTTXN0_114), " &
"(MGTTXP0_115, MGTTXN0_115), " &
"(MGTTXP0_116, MGTTXN0_116), " &
"(MGTTXP0_117, MGTTXN0_117), " &
"(MGTTXP0_118, MGTTXN0_118), " &
"(MGTTXP1_103, MGTTXN1_103), " &
"(MGTTXP1_104, MGTTXN1_104), " &
"(MGTTXP1_105, MGTTXN1_105), " &
"(MGTTXP1_106, MGTTXN1_106), " &
"(MGTTXP1_107, MGTTXN1_107), " &
"(MGTTXP1_108, MGTTXN1_108), " &
"(MGTTXP1_113, MGTTXN1_113), " &
"(MGTTXP1_114, MGTTXN1_114), " &
"(MGTTXP1_115, MGTTXN1_115), " &
"(MGTTXP1_116, MGTTXN1_116), " &
"(MGTTXP1_117, MGTTXN1_117), " &
"(MGTTXP1_118, MGTTXN1_118), " &
"(MGTTXP2_103, MGTTXN2_103), " &
"(MGTTXP2_104, MGTTXN2_104), " &
"(MGTTXP2_105, MGTTXN2_105), " &
"(MGTTXP2_106, MGTTXN2_106), " &
"(MGTTXP2_107, MGTTXN2_107), " &
"(MGTTXP2_108, MGTTXN2_108), " &
"(MGTTXP2_113, MGTTXN2_113), " &
"(MGTTXP2_114, MGTTXN2_114), " &
"(MGTTXP2_115, MGTTXN2_115), " &
"(MGTTXP2_116, MGTTXN2_116), " &
"(MGTTXP2_117, MGTTXN2_117), " &
"(MGTTXP2_118, MGTTXN2_118), " &
"(MGTTXP3_103, MGTTXN3_103), " &
"(MGTTXP3_104, MGTTXN3_104), " &
"(MGTTXP3_105, MGTTXN3_105), " &
"(MGTTXP3_106, MGTTXN3_106), " &
"(MGTTXP3_107, MGTTXN3_107), " &
"(MGTTXP3_108, MGTTXN3_108), " &
"(MGTTXP3_113, MGTTXN3_113), " &
"(MGTTXP3_114, MGTTXN3_114), " &
"(MGTTXP3_115, MGTTXN3_115), " &
"(MGTTXP3_116, MGTTXN3_116), " &
"(MGTTXP3_117, MGTTXN3_117), " &
"(MGTTXP3_118, MGTTXN3_118))";

-- Scan Port Identification

attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (66.0e6, BOTH);

-- Compliance-Enable Description

attribute COMPLIANCE_PATTERNS of XC6VHX255T : entity is
        "(PROGRAM_B, HSWAPEN) (10)";

-- Instruction Register Description

attribute INSTRUCTION_LENGTH of XC6VHX255T : entity is 10;

attribute INSTRUCTION_OPCODE of XC6VHX255T : entity is
        "EXTEST         (1111000000)," &
        "EXTEST_PULSE   (1111111100)," &
        "EXTEST_TRAIN   (1111111101)," &
        "SAMPLE    (1111000001)," &
        "PRELOAD   (1111000001)," & -- Same as SAMPLE
        "USER1     (1111000010)," & -- Not available until after configuration
        "USER2     (1111000011)," & -- Not available until after configuration
        "USER3     (1111100010)," & -- Not available until after configuration
        "USER4     (1111100011)," & -- Not available until after configuration
        "CFG_OUT   (1111000100)," & -- Not available during configuration with another mode.
        "CFG_IN    (1111000101)," & -- Not available during configuration with another mode.
        "JRSVD     (1111000110)," &
        "INTEST_RSVD    (1111000111)," &
        "USERCODE  (1111001000)," &
        "IDCODE    (1111001001)," &
        "HIGHZ     (1111001010)," &
        "JPROGRAM  (1111001011)," & -- Not available during configuration with another mode.
        "JSTART    (1111001100)," & -- Not available during configuration with another mode.
        "JSHUTDOWN (1111001101)," & -- Not available during configuration with another mode.
        "ISC_DNA   (1111010111)," &
        "BYPASS    (1111111111)," &
        "FUSE_UPDATE      (1111110000)," &
        "FUSE_KEY         (1111110001)," &
        "FUSE_ID          (1111110010)," &
        "FUSE_USER        (1111110011)," &
        "FUSE_CNTL        (1111110100)," &
        "MONITOR_DRP      (1111110111)," &
	"ISC_ENABLE           (1111010000)," &
	"ISC_PROGRAM          (1111010001)," &
	"ISC_PROGRAM_KEY      (1111010010)," &
	"ISC_ADDRESS_SHIFT    (1111010011)," &
	"ISC_NOOP             (1111010100)," &
	"ISC_READ             (1111010101)," &
	"ISC_DISABLE          (1111010110)";

attribute INSTRUCTION_CAPTURE of XC6VHX255T : entity is
-- Bit 5 is 1 when DONE is released (part of startup sequence)
-- Bit 4 is 1 if house-cleaning is complete
-- Bit 3 is ISC_Enabled
-- Bit 2 is ISC_Done
        "XXXXXXXX01";

attribute INSTRUCTION_PRIVATE of XC6VHX255T : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
        "USER1," &
        "USER2," &
        "USER3," &
        "USER4," &
        "CFG_OUT," &
        "CFG_IN," &
        "JRSVD," &
        "JPROGRAM," &
        "JSTART," &
        "JSHUTDOWN," &
        "INTEST_RSVD," &
        "FUSE_UPDATE," &
        "FUSE_KEY," &
        "FUSE_ID," &
        "FUSE_USER," &
        "FUSE_CNTL," &
        "MONITOR_DRP," &
	"ISC_ENABLE," &
	"ISC_PROGRAM," &
	"ISC_PROGRAM_KEY," &
	"ISC_ADDRESS_SHIFT," &
	"ISC_NOOP," &
	"ISC_READ," &
	"ISC_DISABLE";

-- Optional Register Description

attribute IDCODE_REGISTER of XC6VHX255T : entity is
	"XXXX" &	-- version
	"0100001" &	-- family
	"010100100" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1


attribute USERCODE_REGISTER of XC6VHX255T : entity is
        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

-- Register Access Description

attribute REGISTER_ACCESS of XC6VHX255T : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
--	"<reg_name>[<length>] (USER3)," &
--	"<reg_name>[<length>] (USER4)," &
        "DATAREG[57] (ISC_DNA)," &
        "BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,PRELOAD,EXTEST,EXTEST_PULSE,EXTEST_TRAIN)";

-- Boundary-Scan Register Description

attribute BOUNDARY_LENGTH of XC6VHX255T : entity is 1633;

attribute BOUNDARY_REGISTER of XC6VHX255T : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_2, *, controlr, 1)," &
	"   1 (BC_2, CCLK_0, output3, X, 0, 1, PULL1)," &
	"   2 (BC_2, CCLK_0, input, X)," &
	"   3 (BC_2, *, controlr, 1)," &
	"   4 (BC_2, DOUT_BUSY_0, output3, X, 3, 1, PULL1)," &
	"   5 (BC_2, RDWR_B_0, input, X)," &
	"   6 (BC_2, CSI_B_0, input, X)," &
	"   7 (BC_2, DIN_0, input, X)," &
	"   8 (BC_2, *, internal, 1)," & --  PROGRAM_B
	"   9 (BC_2, *, internal, 0)," & --  HSWAPEN
	"  10 (BC_2, M0_0, input, X)," &
	"  11 (BC_2, M1_0, input, X)," &
	"  12 (BC_2, M2_0, input, X)," &
	"  13 (BC_2, *, controlr, 1)," &
	"  14 (BC_2, INIT_B_0, output3, X, 13, 1, PULL1)," &
	"  15 (BC_2, INIT_B_0, input, X)," &
	"  16 (BC_2, *, controlr, 1)," &
	"  17 (BC_2, DONE_0, output3, X, 16, 1, PULL1)," &
	"  18 (BC_2, DONE_0, input, X)," &
	"  19 (BC_2, *, internal, X)," &
	"  20 (BC_2, *, internal, X)," &
	"  21 (BC_2, *, internal, X)," &
	"  22 (BC_2, *, internal, X)," &
	"  23 (BC_2, *, internal, X)," &
	"  24 (BC_2, *, internal, X)," &
	"  25 (BC_2, *, internal, X)," &
	"  26 (BC_2, *, internal, X)," &
	"  27 (BC_2, *, internal, X)," &
	"  28 (BC_2, *, controlr, 1)," &
	"  29 (BC_2, IO_PAD480, output3, X, 28, 1, PULL1)," & --  PAD480
	"  30 (BC_2, IO_PAD480, input, X)," & --  PAD480
	"  31 (BC_2, *, controlr, 1)," &
	"  32 (BC_2, IO_PAD479, output3, X, 31, 1, PULL1)," & --  PAD479
	"  33 (BC_2, IO_PAD479, input, X)," & --  PAD479
	"  34 (BC_2, *, controlr, 1)," &
	"  35 (BC_2, IO_PAD478, output3, X, 34, 1, PULL1)," & --  PAD478
	"  36 (BC_2, IO_PAD478, input, X)," & --  PAD478
	"  37 (BC_2, *, controlr, 1)," &
	"  38 (BC_2, IO_PAD477, output3, X, 37, 1, PULL1)," & --  PAD477
	"  39 (BC_2, IO_PAD477, input, X)," & --  PAD477
	"  40 (BC_2, *, controlr, 1)," &
	"  41 (BC_2, IO_PAD476, output3, X, 40, 1, PULL1)," & --  PAD476
	"  42 (BC_2, IO_PAD476, input, X)," & --  PAD476
	"  43 (BC_2, *, controlr, 1)," &
	"  44 (BC_2, IO_PAD475, output3, X, 43, 1, PULL1)," & --  PAD475
	"  45 (BC_2, IO_PAD475, input, X)," & --  PAD475
	"  46 (BC_2, *, controlr, 1)," &
	"  47 (BC_2, IO_PAD474, output3, X, 46, 1, PULL1)," & --  PAD474
	"  48 (BC_2, IO_PAD474, input, X)," & --  PAD474
	"  49 (BC_2, *, controlr, 1)," &
	"  50 (BC_2, IO_PAD473, output3, X, 49, 1, PULL1)," & --  PAD473
	"  51 (BC_2, IO_PAD473, input, X)," & --  PAD473
	"  52 (BC_2, *, controlr, 1)," &
	"  53 (BC_2, IO_PAD472, output3, X, 52, 1, PULL1)," & --  PAD472
	"  54 (BC_2, IO_PAD472, input, X)," & --  PAD472
	"  55 (BC_2, *, controlr, 1)," &
	"  56 (BC_2, IO_PAD471, output3, X, 55, 1, PULL1)," & --  PAD471
	"  57 (BC_2, IO_PAD471, input, X)," & --  PAD471
	"  58 (BC_2, *, controlr, 1)," &
	"  59 (BC_2, IO_PAD470, output3, X, 58, 1, PULL1)," & --  PAD470
	"  60 (BC_2, IO_PAD470, input, X)," & --  PAD470
	"  61 (BC_2, *, controlr, 1)," &
	"  62 (BC_2, IO_PAD469, output3, X, 61, 1, PULL1)," & --  PAD469
	"  63 (BC_2, IO_PAD469, input, X)," & --  PAD469
	"  64 (BC_2, *, controlr, 1)," &
	"  65 (BC_2, IO_PAD468, output3, X, 64, 1, PULL1)," & --  PAD468
	"  66 (BC_2, IO_PAD468, input, X)," & --  PAD468
	"  67 (BC_2, *, controlr, 1)," &
	"  68 (BC_2, IO_PAD467, output3, X, 67, 1, PULL1)," & --  PAD467
	"  69 (BC_2, IO_PAD467, input, X)," & --  PAD467
	"  70 (BC_2, *, controlr, 1)," &
	"  71 (BC_2, IO_PAD466, output3, X, 70, 1, PULL1)," & --  PAD466
	"  72 (BC_2, IO_PAD466, input, X)," & --  PAD466
	"  73 (BC_2, *, controlr, 1)," &
	"  74 (BC_2, IO_PAD465, output3, X, 73, 1, PULL1)," & --  PAD465
	"  75 (BC_2, IO_PAD465, input, X)," & --  PAD465
	"  76 (BC_2, *, controlr, 1)," &
	"  77 (BC_2, IO_PAD464, output3, X, 76, 1, PULL1)," & --  PAD464
	"  78 (BC_2, IO_PAD464, input, X)," & --  PAD464
	"  79 (BC_2, *, controlr, 1)," &
	"  80 (BC_2, IO_PAD463, output3, X, 79, 1, PULL1)," & --  PAD463
	"  81 (BC_2, IO_PAD463, input, X)," & --  PAD463
	"  82 (BC_2, *, controlr, 1)," &
	"  83 (BC_2, IO_PAD462, output3, X, 82, 1, PULL1)," & --  PAD462
	"  84 (BC_2, IO_PAD462, input, X)," & --  PAD462
	"  85 (BC_2, *, controlr, 1)," &
	"  86 (BC_2, IO_PAD461, output3, X, 85, 1, PULL1)," & --  PAD461
	"  87 (BC_2, IO_PAD461, input, X)," & --  PAD461
	"  88 (BC_2, *, controlr, 1)," &
	"  89 (BC_2, IO_PAD460, output3, X, 88, 1, PULL1)," & --  PAD460
	"  90 (BC_2, IO_PAD460, input, X)," & --  PAD460
	"  91 (BC_2, *, controlr, 1)," &
	"  92 (BC_2, IO_PAD459, output3, X, 91, 1, PULL1)," & --  PAD459
	"  93 (BC_2, IO_PAD459, input, X)," & --  PAD459
	"  94 (BC_2, *, controlr, 1)," &
	"  95 (BC_2, IO_PAD458, output3, X, 94, 1, PULL1)," & --  PAD458
	"  96 (BC_2, IO_PAD458, input, X)," & --  PAD458
	"  97 (BC_2, *, controlr, 1)," &
	"  98 (BC_2, IO_PAD457, output3, X, 97, 1, PULL1)," & --  PAD457
	"  99 (BC_2, IO_PAD457, input, X)," & --  PAD457
	" 100 (BC_2, *, controlr, 1)," &
	" 101 (BC_2, IO_PAD456, output3, X, 100, 1, PULL1)," & --  PAD456
	" 102 (BC_2, IO_PAD456, input, X)," & --  PAD456
	" 103 (BC_2, *, controlr, 1)," &
	" 104 (BC_2, IO_PAD455, output3, X, 103, 1, PULL1)," & --  PAD455
	" 105 (BC_2, IO_PAD455, input, X)," & --  PAD455
	" 106 (BC_2, *, controlr, 1)," &
	" 107 (BC_2, IO_PAD454, output3, X, 106, 1, PULL1)," & --  PAD454
	" 108 (BC_2, IO_PAD454, input, X)," & --  PAD454
	" 109 (BC_2, *, controlr, 1)," &
	" 110 (BC_2, IO_PAD453, output3, X, 109, 1, PULL1)," & --  PAD453
	" 111 (BC_2, IO_PAD453, input, X)," & --  PAD453
	" 112 (BC_2, *, controlr, 1)," &
	" 113 (BC_2, IO_PAD452, output3, X, 112, 1, PULL1)," & --  PAD452
	" 114 (BC_2, IO_PAD452, input, X)," & --  PAD452
	" 115 (BC_2, *, controlr, 1)," &
	" 116 (BC_2, IO_PAD451, output3, X, 115, 1, PULL1)," & --  PAD451
	" 117 (BC_2, IO_PAD451, input, X)," & --  PAD451
	" 118 (BC_2, *, controlr, 1)," &
	" 119 (BC_2, IO_PAD450, output3, X, 118, 1, PULL1)," & --  PAD450
	" 120 (BC_2, IO_PAD450, input, X)," & --  PAD450
	" 121 (BC_2, *, controlr, 1)," &
	" 122 (BC_2, IO_PAD449, output3, X, 121, 1, PULL1)," & --  PAD449
	" 123 (BC_2, IO_PAD449, input, X)," & --  PAD449
	" 124 (BC_2, *, controlr, 1)," &
	" 125 (BC_2, IO_PAD448, output3, X, 124, 1, PULL1)," & --  PAD448
	" 126 (BC_2, IO_PAD448, input, X)," & --  PAD448
	" 127 (BC_2, *, controlr, 1)," &
	" 128 (BC_2, IO_PAD447, output3, X, 127, 1, PULL1)," & --  PAD447
	" 129 (BC_2, IO_PAD447, input, X)," & --  PAD447
	" 130 (BC_2, *, controlr, 1)," &
	" 131 (BC_2, IO_PAD446, output3, X, 130, 1, PULL1)," & --  PAD446
	" 132 (BC_2, IO_PAD446, input, X)," & --  PAD446
	" 133 (BC_2, *, controlr, 1)," &
	" 134 (BC_2, IO_PAD445, output3, X, 133, 1, PULL1)," & --  PAD445
	" 135 (BC_2, IO_PAD445, input, X)," & --  PAD445
	" 136 (BC_2, *, controlr, 1)," &
	" 137 (BC_2, IO_PAD444, output3, X, 136, 1, PULL1)," & --  PAD444
	" 138 (BC_2, IO_PAD444, input, X)," & --  PAD444
	" 139 (BC_2, *, controlr, 1)," &
	" 140 (BC_2, IO_PAD443, output3, X, 139, 1, PULL1)," & --  PAD443
	" 141 (BC_2, IO_PAD443, input, X)," & --  PAD443
	" 142 (BC_2, *, controlr, 1)," &
	" 143 (BC_2, IO_PAD442, output3, X, 142, 1, PULL1)," & --  PAD442
	" 144 (BC_2, IO_PAD442, input, X)," & --  PAD442
	" 145 (BC_2, *, controlr, 1)," &
	" 146 (BC_2, IO_PAD441, output3, X, 145, 1, PULL1)," & --  PAD441
	" 147 (BC_2, IO_PAD441, input, X)," & --  PAD441
	" 148 (BC_2, *, controlr, 1)," &
	" 149 (BC_2, IO_PAD440, output3, X, 148, 1, PULL1)," & --  PAD440
	" 150 (BC_2, IO_PAD440, input, X)," & --  PAD440
	" 151 (BC_2, *, controlr, 1)," &
	" 152 (BC_2, IO_PAD439, output3, X, 151, 1, PULL1)," & --  PAD439
	" 153 (BC_2, IO_PAD439, input, X)," & --  PAD439
	" 154 (BC_2, *, controlr, 1)," &
	" 155 (BC_2, IO_PAD438, output3, X, 154, 1, PULL1)," & --  PAD438
	" 156 (BC_2, IO_PAD438, input, X)," & --  PAD438
	" 157 (BC_2, *, controlr, 1)," &
	" 158 (BC_2, IO_PAD437, output3, X, 157, 1, PULL1)," & --  PAD437
	" 159 (BC_2, IO_PAD437, input, X)," & --  PAD437
	" 160 (BC_2, *, controlr, 1)," &
	" 161 (BC_2, IO_PAD436, output3, X, 160, 1, PULL1)," & --  PAD436
	" 162 (BC_2, IO_PAD436, input, X)," & --  PAD436
	" 163 (BC_2, *, controlr, 1)," &
	" 164 (BC_2, IO_PAD435, output3, X, 163, 1, PULL1)," & --  PAD435
	" 165 (BC_2, IO_PAD435, input, X)," & --  PAD435
	" 166 (BC_2, *, controlr, 1)," &
	" 167 (BC_2, IO_PAD434, output3, X, 166, 1, PULL1)," & --  PAD434
	" 168 (BC_2, IO_PAD434, input, X)," & --  PAD434
	" 169 (BC_2, *, controlr, 1)," &
	" 170 (BC_2, IO_PAD433, output3, X, 169, 1, PULL1)," & --  PAD433
	" 171 (BC_2, IO_PAD433, input, X)," & --  PAD433
	" 172 (BC_2, *, controlr, 1)," &
	" 173 (BC_2, IO_PAD432, output3, X, 172, 1, PULL1)," & --  PAD432
	" 174 (BC_2, IO_PAD432, input, X)," & --  PAD432
	" 175 (BC_2, *, controlr, 1)," &
	" 176 (BC_2, IO_PAD431, output3, X, 175, 1, PULL1)," & --  PAD431
	" 177 (BC_2, IO_PAD431, input, X)," & --  PAD431
	" 178 (BC_2, *, controlr, 1)," &
	" 179 (BC_2, IO_PAD430, output3, X, 178, 1, PULL1)," & --  PAD430
	" 180 (BC_2, IO_PAD430, input, X)," & --  PAD430
	" 181 (BC_2, *, controlr, 1)," &
	" 182 (BC_2, IO_PAD429, output3, X, 181, 1, PULL1)," & --  PAD429
	" 183 (BC_2, IO_PAD429, input, X)," & --  PAD429
	" 184 (BC_2, *, controlr, 1)," &
	" 185 (BC_2, IO_PAD428, output3, X, 184, 1, PULL1)," & --  PAD428
	" 186 (BC_2, IO_PAD428, input, X)," & --  PAD428
	" 187 (BC_2, *, controlr, 1)," &
	" 188 (BC_2, IO_PAD427, output3, X, 187, 1, PULL1)," & --  PAD427
	" 189 (BC_2, IO_PAD427, input, X)," & --  PAD427
	" 190 (BC_2, *, controlr, 1)," &
	" 191 (BC_2, IO_PAD426, output3, X, 190, 1, PULL1)," & --  PAD426
	" 192 (BC_2, IO_PAD426, input, X)," & --  PAD426
	" 193 (BC_2, *, controlr, 1)," &
	" 194 (BC_2, IO_PAD425, output3, X, 193, 1, PULL1)," & --  PAD425
	" 195 (BC_2, IO_PAD425, input, X)," & --  PAD425
	" 196 (BC_2, *, controlr, 1)," &
	" 197 (BC_2, IO_PAD424, output3, X, 196, 1, PULL1)," & --  PAD424
	" 198 (BC_2, IO_PAD424, input, X)," & --  PAD424
	" 199 (BC_2, *, controlr, 1)," &
	" 200 (BC_2, IO_PAD423, output3, X, 199, 1, PULL1)," & --  PAD423
	" 201 (BC_2, IO_PAD423, input, X)," & --  PAD423
	" 202 (BC_2, *, controlr, 1)," &
	" 203 (BC_2, IO_PAD422, output3, X, 202, 1, PULL1)," & --  PAD422
	" 204 (BC_2, IO_PAD422, input, X)," & --  PAD422
	" 205 (BC_2, *, controlr, 1)," &
	" 206 (BC_2, IO_PAD421, output3, X, 205, 1, PULL1)," & --  PAD421
	" 207 (BC_2, IO_PAD421, input, X)," & --  PAD421
	" 208 (BC_2, *, controlr, 1)," &
	" 209 (BC_2, IO_PAD420, output3, X, 208, 1, PULL1)," & --  PAD420
	" 210 (BC_2, IO_PAD420, input, X)," & --  PAD420
	" 211 (BC_2, *, controlr, 1)," &
	" 212 (BC_2, IO_PAD419, output3, X, 211, 1, PULL1)," & --  PAD419
	" 213 (BC_2, IO_PAD419, input, X)," & --  PAD419
	" 214 (BC_2, *, controlr, 1)," &
	" 215 (BC_2, IO_PAD418, output3, X, 214, 1, PULL1)," & --  PAD418
	" 216 (BC_2, IO_PAD418, input, X)," & --  PAD418
	" 217 (BC_2, *, controlr, 1)," &
	" 218 (BC_2, IO_PAD417, output3, X, 217, 1, PULL1)," & --  PAD417
	" 219 (BC_2, IO_PAD417, input, X)," & --  PAD417
	" 220 (BC_2, *, controlr, 1)," &
	" 221 (BC_2, IO_PAD416, output3, X, 220, 1, PULL1)," & --  PAD416
	" 222 (BC_2, IO_PAD416, input, X)," & --  PAD416
	" 223 (BC_2, *, controlr, 1)," &
	" 224 (BC_2, IO_PAD415, output3, X, 223, 1, PULL1)," & --  PAD415
	" 225 (BC_2, IO_PAD415, input, X)," & --  PAD415
	" 226 (BC_2, *, controlr, 1)," &
	" 227 (BC_2, IO_PAD414, output3, X, 226, 1, PULL1)," & --  PAD414
	" 228 (BC_2, IO_PAD414, input, X)," & --  PAD414
	" 229 (BC_2, *, controlr, 1)," &
	" 230 (BC_2, IO_PAD413, output3, X, 229, 1, PULL1)," & --  PAD413
	" 231 (BC_2, IO_PAD413, input, X)," & --  PAD413
	" 232 (BC_2, *, controlr, 1)," &
	" 233 (BC_2, IO_PAD412, output3, X, 232, 1, PULL1)," & --  PAD412
	" 234 (BC_2, IO_PAD412, input, X)," & --  PAD412
	" 235 (BC_2, *, controlr, 1)," &
	" 236 (BC_2, IO_PAD411, output3, X, 235, 1, PULL1)," & --  PAD411
	" 237 (BC_2, IO_PAD411, input, X)," & --  PAD411
	" 238 (BC_2, *, controlr, 1)," &
	" 239 (BC_2, IO_PAD410, output3, X, 238, 1, PULL1)," & --  PAD410
	" 240 (BC_2, IO_PAD410, input, X)," & --  PAD410
	" 241 (BC_2, *, controlr, 1)," &
	" 242 (BC_2, IO_PAD409, output3, X, 241, 1, PULL1)," & --  PAD409
	" 243 (BC_2, IO_PAD409, input, X)," & --  PAD409
	" 244 (BC_2, *, controlr, 1)," &
	" 245 (BC_2, IO_PAD408, output3, X, 244, 1, PULL1)," & --  PAD408
	" 246 (BC_2, IO_PAD408, input, X)," & --  PAD408
	" 247 (BC_2, *, controlr, 1)," &
	" 248 (BC_2, IO_PAD407, output3, X, 247, 1, PULL1)," & --  PAD407
	" 249 (BC_2, IO_PAD407, input, X)," & --  PAD407
	" 250 (BC_2, *, controlr, 1)," &
	" 251 (BC_2, IO_PAD406, output3, X, 250, 1, PULL1)," & --  PAD406
	" 252 (BC_2, IO_PAD406, input, X)," & --  PAD406
	" 253 (BC_2, *, controlr, 1)," &
	" 254 (BC_2, IO_PAD405, output3, X, 253, 1, PULL1)," & --  PAD405
	" 255 (BC_2, IO_PAD405, input, X)," & --  PAD405
	" 256 (BC_2, *, controlr, 1)," &
	" 257 (BC_2, IO_PAD404, output3, X, 256, 1, PULL1)," & --  PAD404
	" 258 (BC_2, IO_PAD404, input, X)," & --  PAD404
	" 259 (BC_2, *, controlr, 1)," &
	" 260 (BC_2, IO_PAD403, output3, X, 259, 1, PULL1)," & --  PAD403
	" 261 (BC_2, IO_PAD403, input, X)," & --  PAD403
	" 262 (BC_2, *, controlr, 1)," &
	" 263 (BC_2, IO_PAD402, output3, X, 262, 1, PULL1)," & --  PAD402
	" 264 (BC_2, IO_PAD402, input, X)," & --  PAD402
	" 265 (BC_2, *, controlr, 1)," &
	" 266 (BC_2, IO_PAD401, output3, X, 265, 1, PULL1)," & --  PAD401
	" 267 (BC_2, IO_PAD401, input, X)," & --  PAD401
	" 268 (BC_2, *, controlr, 1)," &
	" 269 (BC_2, IO_PAD400, output3, X, 268, 1, PULL1)," & --  PAD400
	" 270 (BC_2, IO_PAD400, input, X)," & --  PAD400
	" 271 (BC_2, *, controlr, 1)," &
	" 272 (BC_2, IO_PAD399, output3, X, 271, 1, PULL1)," & --  PAD399
	" 273 (BC_2, IO_PAD399, input, X)," & --  PAD399
	" 274 (BC_2, *, controlr, 1)," &
	" 275 (BC_2, IO_PAD398, output3, X, 274, 1, PULL1)," & --  PAD398
	" 276 (BC_2, IO_PAD398, input, X)," & --  PAD398
	" 277 (BC_2, *, controlr, 1)," &
	" 278 (BC_2, IO_PAD397, output3, X, 277, 1, PULL1)," & --  PAD397
	" 279 (BC_2, IO_PAD397, input, X)," & --  PAD397
	" 280 (BC_2, *, controlr, 1)," &
	" 281 (BC_2, IO_PAD396, output3, X, 280, 1, PULL1)," & --  PAD396
	" 282 (BC_2, IO_PAD396, input, X)," & --  PAD396
	" 283 (BC_2, *, controlr, 1)," &
	" 284 (BC_2, IO_PAD395, output3, X, 283, 1, PULL1)," & --  PAD395
	" 285 (BC_2, IO_PAD395, input, X)," & --  PAD395
	" 286 (BC_2, *, controlr, 1)," &
	" 287 (BC_2, IO_PAD394, output3, X, 286, 1, PULL1)," & --  PAD394
	" 288 (BC_2, IO_PAD394, input, X)," & --  PAD394
	" 289 (BC_2, *, controlr, 1)," &
	" 290 (BC_2, IO_PAD393, output3, X, 289, 1, PULL1)," & --  PAD393
	" 291 (BC_2, IO_PAD393, input, X)," & --  PAD393
	" 292 (BC_2, *, controlr, 1)," &
	" 293 (BC_2, IO_PAD392, output3, X, 292, 1, PULL1)," & --  PAD392
	" 294 (BC_2, IO_PAD392, input, X)," & --  PAD392
	" 295 (BC_2, *, controlr, 1)," &
	" 296 (BC_2, IO_PAD391, output3, X, 295, 1, PULL1)," & --  PAD391
	" 297 (BC_2, IO_PAD391, input, X)," & --  PAD391
	" 298 (BC_2, *, controlr, 1)," &
	" 299 (BC_2, IO_PAD390, output3, X, 298, 1, PULL1)," & --  PAD390
	" 300 (BC_2, IO_PAD390, input, X)," & --  PAD390
	" 301 (BC_2, *, controlr, 1)," &
	" 302 (BC_2, IO_PAD389, output3, X, 301, 1, PULL1)," & --  PAD389
	" 303 (BC_2, IO_PAD389, input, X)," & --  PAD389
	" 304 (BC_2, *, controlr, 1)," &
	" 305 (BC_2, IO_PAD388, output3, X, 304, 1, PULL1)," & --  PAD388
	" 306 (BC_2, IO_PAD388, input, X)," & --  PAD388
	" 307 (BC_2, *, controlr, 1)," &
	" 308 (BC_2, IO_PAD387, output3, X, 307, 1, PULL1)," & --  PAD387
	" 309 (BC_2, IO_PAD387, input, X)," & --  PAD387
	" 310 (BC_2, *, controlr, 1)," &
	" 311 (BC_2, IO_PAD386, output3, X, 310, 1, PULL1)," & --  PAD386
	" 312 (BC_2, IO_PAD386, input, X)," & --  PAD386
	" 313 (BC_2, *, controlr, 1)," &
	" 314 (BC_2, IO_PAD385, output3, X, 313, 1, PULL1)," & --  PAD385
	" 315 (BC_2, IO_PAD385, input, X)," & --  PAD385
	" 316 (BC_2, *, controlr, 1)," &
	" 317 (BC_2, IO_PAD384, output3, X, 316, 1, PULL1)," & --  PAD384
	" 318 (BC_2, IO_PAD384, input, X)," & --  PAD384
	" 319 (BC_2, *, controlr, 1)," &
	" 320 (BC_2, IO_PAD383, output3, X, 319, 1, PULL1)," & --  PAD383
	" 321 (BC_2, IO_PAD383, input, X)," & --  PAD383
	" 322 (BC_2, *, controlr, 1)," &
	" 323 (BC_2, IO_PAD382, output3, X, 322, 1, PULL1)," & --  PAD382
	" 324 (BC_2, IO_PAD382, input, X)," & --  PAD382
	" 325 (BC_2, *, controlr, 1)," &
	" 326 (BC_2, IO_PAD381, output3, X, 325, 1, PULL1)," & --  PAD381
	" 327 (BC_2, IO_PAD381, input, X)," & --  PAD381
	" 328 (BC_2, *, controlr, 1)," &
	" 329 (BC_2, IO_PAD380, output3, X, 328, 1, PULL1)," & --  PAD380
	" 330 (BC_2, IO_PAD380, input, X)," & --  PAD380
	" 331 (BC_2, *, controlr, 1)," &
	" 332 (BC_2, IO_PAD379, output3, X, 331, 1, PULL1)," & --  PAD379
	" 333 (BC_2, IO_PAD379, input, X)," & --  PAD379
	" 334 (BC_2, *, controlr, 1)," &
	" 335 (BC_2, IO_PAD378, output3, X, 334, 1, PULL1)," & --  PAD378
	" 336 (BC_2, IO_PAD378, input, X)," & --  PAD378
	" 337 (BC_2, *, controlr, 1)," &
	" 338 (BC_2, IO_PAD377, output3, X, 337, 1, PULL1)," & --  PAD377
	" 339 (BC_2, IO_PAD377, input, X)," & --  PAD377
	" 340 (BC_2, *, controlr, 1)," &
	" 341 (BC_2, IO_PAD376, output3, X, 340, 1, PULL1)," & --  PAD376
	" 342 (BC_2, IO_PAD376, input, X)," & --  PAD376
	" 343 (BC_2, *, controlr, 1)," &
	" 344 (BC_2, IO_PAD375, output3, X, 343, 1, PULL1)," & --  PAD375
	" 345 (BC_2, IO_PAD375, input, X)," & --  PAD375
	" 346 (BC_2, *, controlr, 1)," &
	" 347 (BC_2, IO_PAD374, output3, X, 346, 1, PULL1)," & --  PAD374
	" 348 (BC_2, IO_PAD374, input, X)," & --  PAD374
	" 349 (BC_2, *, controlr, 1)," &
	" 350 (BC_2, IO_PAD373, output3, X, 349, 1, PULL1)," & --  PAD373
	" 351 (BC_2, IO_PAD373, input, X)," & --  PAD373
	" 352 (BC_2, *, controlr, 1)," &
	" 353 (BC_2, IO_PAD372, output3, X, 352, 1, PULL1)," & --  PAD372
	" 354 (BC_2, IO_PAD372, input, X)," & --  PAD372
	" 355 (BC_2, *, controlr, 1)," &
	" 356 (BC_2, IO_PAD371, output3, X, 355, 1, PULL1)," & --  PAD371
	" 357 (BC_2, IO_PAD371, input, X)," & --  PAD371
	" 358 (BC_2, *, controlr, 1)," &
	" 359 (BC_2, IO_PAD370, output3, X, 358, 1, PULL1)," & --  PAD370
	" 360 (BC_2, IO_PAD370, input, X)," & --  PAD370
	" 361 (BC_2, *, controlr, 1)," &
	" 362 (BC_2, IO_PAD369, output3, X, 361, 1, PULL1)," & --  PAD369
	" 363 (BC_2, IO_PAD369, input, X)," & --  PAD369
	" 364 (BC_2, *, controlr, 1)," &
	" 365 (BC_2, IO_PAD368, output3, X, 364, 1, PULL1)," & --  PAD368
	" 366 (BC_2, IO_PAD368, input, X)," & --  PAD368
	" 367 (BC_2, *, controlr, 1)," &
	" 368 (BC_2, IO_PAD367, output3, X, 367, 1, PULL1)," & --  PAD367
	" 369 (BC_2, IO_PAD367, input, X)," & --  PAD367
	" 370 (BC_2, *, controlr, 1)," &
	" 371 (BC_2, IO_PAD366, output3, X, 370, 1, PULL1)," & --  PAD366
	" 372 (BC_2, IO_PAD366, input, X)," & --  PAD366
	" 373 (BC_2, *, controlr, 1)," &
	" 374 (BC_2, IO_PAD365, output3, X, 373, 1, PULL1)," & --  PAD365
	" 375 (BC_2, IO_PAD365, input, X)," & --  PAD365
	" 376 (BC_2, *, controlr, 1)," &
	" 377 (BC_2, IO_PAD364, output3, X, 376, 1, PULL1)," & --  PAD364
	" 378 (BC_2, IO_PAD364, input, X)," & --  PAD364
	" 379 (BC_2, *, controlr, 1)," &
	" 380 (BC_2, IO_PAD363, output3, X, 379, 1, PULL1)," & --  PAD363
	" 381 (BC_2, IO_PAD363, input, X)," & --  PAD363
	" 382 (BC_2, *, controlr, 1)," &
	" 383 (BC_2, IO_PAD362, output3, X, 382, 1, PULL1)," & --  PAD362
	" 384 (BC_2, IO_PAD362, input, X)," & --  PAD362
	" 385 (BC_2, *, controlr, 1)," &
	" 386 (BC_2, IO_PAD361, output3, X, 385, 1, PULL1)," & --  PAD361
	" 387 (BC_2, IO_PAD361, input, X)," & --  PAD361
	" 388 (BC_2, *, controlr, 1)," &
	" 389 (BC_2, IO_PAD360, output3, X, 388, 1, PULL1)," & --  PAD360
	" 390 (BC_2, IO_PAD360, input, X)," & --  PAD360
	" 391 (BC_2, *, controlr, 1)," &
	" 392 (BC_2, IO_PAD359, output3, X, 391, 1, PULL1)," & --  PAD359
	" 393 (BC_2, IO_PAD359, input, X)," & --  PAD359
	" 394 (BC_2, *, controlr, 1)," &
	" 395 (BC_2, IO_PAD358, output3, X, 394, 1, PULL1)," & --  PAD358
	" 396 (BC_2, IO_PAD358, input, X)," & --  PAD358
	" 397 (BC_2, *, controlr, 1)," &
	" 398 (BC_2, IO_PAD357, output3, X, 397, 1, PULL1)," & --  PAD357
	" 399 (BC_2, IO_PAD357, input, X)," & --  PAD357
	" 400 (BC_2, *, controlr, 1)," &
	" 401 (BC_2, IO_PAD356, output3, X, 400, 1, PULL1)," & --  PAD356
	" 402 (BC_2, IO_PAD356, input, X)," & --  PAD356
	" 403 (BC_2, *, controlr, 1)," &
	" 404 (BC_2, IO_PAD355, output3, X, 403, 1, PULL1)," & --  PAD355
	" 405 (BC_2, IO_PAD355, input, X)," & --  PAD355
	" 406 (BC_2, *, controlr, 1)," &
	" 407 (BC_2, IO_PAD354, output3, X, 406, 1, PULL1)," & --  PAD354
	" 408 (BC_2, IO_PAD354, input, X)," & --  PAD354
	" 409 (BC_2, *, controlr, 1)," &
	" 410 (BC_2, IO_PAD353, output3, X, 409, 1, PULL1)," & --  PAD353
	" 411 (BC_2, IO_PAD353, input, X)," & --  PAD353
	" 412 (BC_2, *, controlr, 1)," &
	" 413 (BC_2, IO_PAD352, output3, X, 412, 1, PULL1)," & --  PAD352
	" 414 (BC_2, IO_PAD352, input, X)," & --  PAD352
	" 415 (BC_2, *, controlr, 1)," &
	" 416 (BC_2, IO_PAD351, output3, X, 415, 1, PULL1)," & --  PAD351
	" 417 (BC_2, IO_PAD351, input, X)," & --  PAD351
	" 418 (BC_2, *, controlr, 1)," &
	" 419 (BC_2, IO_PAD350, output3, X, 418, 1, PULL1)," & --  PAD350
	" 420 (BC_2, IO_PAD350, input, X)," & --  PAD350
	" 421 (BC_2, *, controlr, 1)," &
	" 422 (BC_2, IO_PAD349, output3, X, 421, 1, PULL1)," & --  PAD349
	" 423 (BC_2, IO_PAD349, input, X)," & --  PAD349
	" 424 (BC_2, *, controlr, 1)," &
	" 425 (BC_2, IO_PAD348, output3, X, 424, 1, PULL1)," & --  PAD348
	" 426 (BC_2, IO_PAD348, input, X)," & --  PAD348
	" 427 (BC_2, *, controlr, 1)," &
	" 428 (BC_2, IO_PAD347, output3, X, 427, 1, PULL1)," & --  PAD347
	" 429 (BC_2, IO_PAD347, input, X)," & --  PAD347
	" 430 (BC_2, *, controlr, 1)," &
	" 431 (BC_2, IO_PAD346, output3, X, 430, 1, PULL1)," & --  PAD346
	" 432 (BC_2, IO_PAD346, input, X)," & --  PAD346
	" 433 (BC_2, *, controlr, 1)," &
	" 434 (BC_2, IO_PAD345, output3, X, 433, 1, PULL1)," & --  PAD345
	" 435 (BC_2, IO_PAD345, input, X)," & --  PAD345
	" 436 (BC_2, *, controlr, 1)," &
	" 437 (BC_2, IO_PAD344, output3, X, 436, 1, PULL1)," & --  PAD344
	" 438 (BC_2, IO_PAD344, input, X)," & --  PAD344
	" 439 (BC_2, *, controlr, 1)," &
	" 440 (BC_2, IO_PAD343, output3, X, 439, 1, PULL1)," & --  PAD343
	" 441 (BC_2, IO_PAD343, input, X)," & --  PAD343
	" 442 (BC_2, *, controlr, 1)," &
	" 443 (BC_2, IO_PAD342, output3, X, 442, 1, PULL1)," & --  PAD342
	" 444 (BC_2, IO_PAD342, input, X)," & --  PAD342
	" 445 (BC_2, *, controlr, 1)," &
	" 446 (BC_2, IO_PAD341, output3, X, 445, 1, PULL1)," & --  PAD341
	" 447 (BC_2, IO_PAD341, input, X)," & --  PAD341
	" 448 (BC_2, *, controlr, 1)," &
	" 449 (BC_2, IO_PAD340, output3, X, 448, 1, PULL1)," & --  PAD340
	" 450 (BC_2, IO_PAD340, input, X)," & --  PAD340
	" 451 (BC_2, *, controlr, 1)," &
	" 452 (BC_2, IO_PAD339, output3, X, 451, 1, PULL1)," & --  PAD339
	" 453 (BC_2, IO_PAD339, input, X)," & --  PAD339
	" 454 (BC_2, *, controlr, 1)," &
	" 455 (BC_2, IO_PAD338, output3, X, 454, 1, PULL1)," & --  PAD338
	" 456 (BC_2, IO_PAD338, input, X)," & --  PAD338
	" 457 (BC_2, *, controlr, 1)," &
	" 458 (BC_2, IO_PAD337, output3, X, 457, 1, PULL1)," & --  PAD337
	" 459 (BC_2, IO_PAD337, input, X)," & --  PAD337
	" 460 (BC_2, *, controlr, 1)," &
	" 461 (BC_2, IO_PAD336, output3, X, 460, 1, PULL1)," & --  PAD336
	" 462 (BC_2, IO_PAD336, input, X)," & --  PAD336
	" 463 (BC_2, *, controlr, 1)," &
	" 464 (BC_2, IO_PAD335, output3, X, 463, 1, PULL1)," & --  PAD335
	" 465 (BC_2, IO_PAD335, input, X)," & --  PAD335
	" 466 (BC_2, *, controlr, 1)," &
	" 467 (BC_2, IO_PAD334, output3, X, 466, 1, PULL1)," & --  PAD334
	" 468 (BC_2, IO_PAD334, input, X)," & --  PAD334
	" 469 (BC_2, *, controlr, 1)," &
	" 470 (BC_2, IO_PAD333, output3, X, 469, 1, PULL1)," & --  PAD333
	" 471 (BC_2, IO_PAD333, input, X)," & --  PAD333
	" 472 (BC_2, *, controlr, 1)," &
	" 473 (BC_2, IO_PAD332, output3, X, 472, 1, PULL1)," & --  PAD332
	" 474 (BC_2, IO_PAD332, input, X)," & --  PAD332
	" 475 (BC_2, *, controlr, 1)," &
	" 476 (BC_2, IO_PAD331, output3, X, 475, 1, PULL1)," & --  PAD331
	" 477 (BC_2, IO_PAD331, input, X)," & --  PAD331
	" 478 (BC_2, *, controlr, 1)," &
	" 479 (BC_2, IO_PAD330, output3, X, 478, 1, PULL1)," & --  PAD330
	" 480 (BC_2, IO_PAD330, input, X)," & --  PAD330
	" 481 (BC_2, *, controlr, 1)," &
	" 482 (BC_2, IO_PAD329, output3, X, 481, 1, PULL1)," & --  PAD329
	" 483 (BC_2, IO_PAD329, input, X)," & --  PAD329
	" 484 (BC_2, *, controlr, 1)," &
	" 485 (BC_2, IO_PAD328, output3, X, 484, 1, PULL1)," & --  PAD328
	" 486 (BC_2, IO_PAD328, input, X)," & --  PAD328
	" 487 (BC_2, *, controlr, 1)," &
	" 488 (BC_2, IO_PAD327, output3, X, 487, 1, PULL1)," & --  PAD327
	" 489 (BC_2, IO_PAD327, input, X)," & --  PAD327
	" 490 (BC_2, *, controlr, 1)," &
	" 491 (BC_2, IO_PAD326, output3, X, 490, 1, PULL1)," & --  PAD326
	" 492 (BC_2, IO_PAD326, input, X)," & --  PAD326
	" 493 (BC_2, *, controlr, 1)," &
	" 494 (BC_2, IO_PAD325, output3, X, 493, 1, PULL1)," & --  PAD325
	" 495 (BC_2, IO_PAD325, input, X)," & --  PAD325
	" 496 (BC_2, *, controlr, 1)," &
	" 497 (BC_2, IO_PAD324, output3, X, 496, 1, PULL1)," & --  PAD324
	" 498 (BC_2, IO_PAD324, input, X)," & --  PAD324
	" 499 (BC_2, *, controlr, 1)," &
	" 500 (BC_2, IO_PAD323, output3, X, 499, 1, PULL1)," & --  PAD323
	" 501 (BC_2, IO_PAD323, input, X)," & --  PAD323
	" 502 (BC_2, *, controlr, 1)," &
	" 503 (BC_2, IO_PAD322, output3, X, 502, 1, PULL1)," & --  PAD322
	" 504 (BC_2, IO_PAD322, input, X)," & --  PAD322
	" 505 (BC_2, *, controlr, 1)," &
	" 506 (BC_2, IO_PAD321, output3, X, 505, 1, PULL1)," & --  PAD321
	" 507 (BC_2, IO_PAD321, input, X)," & --  PAD321
	" 508 (BC_2, *, controlr, 1)," &
	" 509 (BC_2, IO_PAD320, output3, X, 508, 1, PULL1)," & --  PAD320
	" 510 (BC_2, IO_PAD320, input, X)," & --  PAD320
	" 511 (BC_2, *, controlr, 1)," &
	" 512 (BC_2, IO_PAD319, output3, X, 511, 1, PULL1)," & --  PAD319
	" 513 (BC_2, IO_PAD319, input, X)," & --  PAD319
	" 514 (BC_2, *, controlr, 1)," &
	" 515 (BC_2, IO_PAD318, output3, X, 514, 1, PULL1)," & --  PAD318
	" 516 (BC_2, IO_PAD318, input, X)," & --  PAD318
	" 517 (BC_2, *, controlr, 1)," &
	" 518 (BC_2, IO_PAD317, output3, X, 517, 1, PULL1)," & --  PAD317
	" 519 (BC_2, IO_PAD317, input, X)," & --  PAD317
	" 520 (BC_2, *, controlr, 1)," &
	" 521 (BC_2, IO_PAD316, output3, X, 520, 1, PULL1)," & --  PAD316
	" 522 (BC_2, IO_PAD316, input, X)," & --  PAD316
	" 523 (BC_2, *, controlr, 1)," &
	" 524 (BC_2, IO_PAD315, output3, X, 523, 1, PULL1)," & --  PAD315
	" 525 (BC_2, IO_PAD315, input, X)," & --  PAD315
	" 526 (BC_2, *, controlr, 1)," &
	" 527 (BC_2, IO_PAD314, output3, X, 526, 1, PULL1)," & --  PAD314
	" 528 (BC_2, IO_PAD314, input, X)," & --  PAD314
	" 529 (BC_2, *, controlr, 1)," &
	" 530 (BC_2, IO_PAD313, output3, X, 529, 1, PULL1)," & --  PAD313
	" 531 (BC_2, IO_PAD313, input, X)," & --  PAD313
	" 532 (BC_2, *, controlr, 1)," &
	" 533 (BC_2, IO_PAD312, output3, X, 532, 1, PULL1)," & --  PAD312
	" 534 (BC_2, IO_PAD312, input, X)," & --  PAD312
	" 535 (BC_2, *, controlr, 1)," &
	" 536 (BC_2, IO_PAD311, output3, X, 535, 1, PULL1)," & --  PAD311
	" 537 (BC_2, IO_PAD311, input, X)," & --  PAD311
	" 538 (BC_2, *, controlr, 1)," &
	" 539 (BC_2, IO_PAD310, output3, X, 538, 1, PULL1)," & --  PAD310
	" 540 (BC_2, IO_PAD310, input, X)," & --  PAD310
	" 541 (BC_2, *, controlr, 1)," &
	" 542 (BC_2, IO_PAD309, output3, X, 541, 1, PULL1)," & --  PAD309
	" 543 (BC_2, IO_PAD309, input, X)," & --  PAD309
	" 544 (BC_2, *, controlr, 1)," &
	" 545 (BC_2, IO_PAD308, output3, X, 544, 1, PULL1)," & --  PAD308
	" 546 (BC_2, IO_PAD308, input, X)," & --  PAD308
	" 547 (BC_2, *, controlr, 1)," &
	" 548 (BC_2, IO_PAD307, output3, X, 547, 1, PULL1)," & --  PAD307
	" 549 (BC_2, IO_PAD307, input, X)," & --  PAD307
	" 550 (BC_2, *, controlr, 1)," &
	" 551 (BC_2, IO_PAD306, output3, X, 550, 1, PULL1)," & --  PAD306
	" 552 (BC_2, IO_PAD306, input, X)," & --  PAD306
	" 553 (BC_2, *, controlr, 1)," &
	" 554 (BC_2, IO_PAD305, output3, X, 553, 1, PULL1)," & --  PAD305
	" 555 (BC_2, IO_PAD305, input, X)," & --  PAD305
	" 556 (BC_2, *, controlr, 1)," &
	" 557 (BC_2, IO_PAD304, output3, X, 556, 1, PULL1)," & --  PAD304
	" 558 (BC_2, IO_PAD304, input, X)," & --  PAD304
	" 559 (BC_2, *, controlr, 1)," &
	" 560 (BC_2, IO_PAD303, output3, X, 559, 1, PULL1)," & --  PAD303
	" 561 (BC_2, IO_PAD303, input, X)," & --  PAD303
	" 562 (BC_2, *, controlr, 1)," &
	" 563 (BC_2, IO_PAD302, output3, X, 562, 1, PULL1)," & --  PAD302
	" 564 (BC_2, IO_PAD302, input, X)," & --  PAD302
	" 565 (BC_2, *, controlr, 1)," &
	" 566 (BC_2, IO_PAD301, output3, X, 565, 1, PULL1)," & --  PAD301
	" 567 (BC_2, IO_PAD301, input, X)," & --  PAD301
	" 568 (BC_2, *, controlr, 1)," &
	" 569 (BC_2, IO_PAD300, output3, X, 568, 1, PULL1)," & --  PAD300
	" 570 (BC_2, IO_PAD300, input, X)," & --  PAD300
	" 571 (BC_2, *, controlr, 1)," &
	" 572 (BC_2, IO_PAD299, output3, X, 571, 1, PULL1)," & --  PAD299
	" 573 (BC_2, IO_PAD299, input, X)," & --  PAD299
	" 574 (BC_2, *, controlr, 1)," &
	" 575 (BC_2, IO_PAD298, output3, X, 574, 1, PULL1)," & --  PAD298
	" 576 (BC_2, IO_PAD298, input, X)," & --  PAD298
	" 577 (BC_2, *, controlr, 1)," &
	" 578 (BC_2, IO_PAD297, output3, X, 577, 1, PULL1)," & --  PAD297
	" 579 (BC_2, IO_PAD297, input, X)," & --  PAD297
	" 580 (BC_2, *, controlr, 1)," &
	" 581 (BC_2, IO_PAD296, output3, X, 580, 1, PULL1)," & --  PAD296
	" 582 (BC_2, IO_PAD296, input, X)," & --  PAD296
	" 583 (BC_2, *, controlr, 1)," &
	" 584 (BC_2, IO_PAD295, output3, X, 583, 1, PULL1)," & --  PAD295
	" 585 (BC_2, IO_PAD295, input, X)," & --  PAD295
	" 586 (BC_2, *, controlr, 1)," &
	" 587 (BC_2, IO_PAD294, output3, X, 586, 1, PULL1)," & --  PAD294
	" 588 (BC_2, IO_PAD294, input, X)," & --  PAD294
	" 589 (BC_2, *, controlr, 1)," &
	" 590 (BC_2, IO_PAD293, output3, X, 589, 1, PULL1)," & --  PAD293
	" 591 (BC_2, IO_PAD293, input, X)," & --  PAD293
	" 592 (BC_2, *, controlr, 1)," &
	" 593 (BC_2, IO_PAD292, output3, X, 592, 1, PULL1)," & --  PAD292
	" 594 (BC_2, IO_PAD292, input, X)," & --  PAD292
	" 595 (BC_2, *, controlr, 1)," &
	" 596 (BC_2, IO_PAD291, output3, X, 595, 1, PULL1)," & --  PAD291
	" 597 (BC_2, IO_PAD291, input, X)," & --  PAD291
	" 598 (BC_2, *, controlr, 1)," &
	" 599 (BC_2, IO_PAD290, output3, X, 598, 1, PULL1)," & --  PAD290
	" 600 (BC_2, IO_PAD290, input, X)," & --  PAD290
	" 601 (BC_2, *, controlr, 1)," &
	" 602 (BC_2, IO_PAD289, output3, X, 601, 1, PULL1)," & --  PAD289
	" 603 (BC_2, IO_PAD289, input, X)," & --  PAD289
	" 604 (BC_2, *, controlr, 1)," &
	" 605 (BC_2, IO_PAD288, output3, X, 604, 1, PULL1)," & --  PAD288
	" 606 (BC_2, IO_PAD288, input, X)," & --  PAD288
	" 607 (BC_2, *, controlr, 1)," &
	" 608 (BC_2, IO_PAD287, output3, X, 607, 1, PULL1)," & --  PAD287
	" 609 (BC_2, IO_PAD287, input, X)," & --  PAD287
	" 610 (BC_2, *, controlr, 1)," &
	" 611 (BC_2, IO_PAD286, output3, X, 610, 1, PULL1)," & --  PAD286
	" 612 (BC_2, IO_PAD286, input, X)," & --  PAD286
	" 613 (BC_2, *, controlr, 1)," &
	" 614 (BC_2, IO_PAD285, output3, X, 613, 1, PULL1)," & --  PAD285
	" 615 (BC_2, IO_PAD285, input, X)," & --  PAD285
	" 616 (BC_2, *, controlr, 1)," &
	" 617 (BC_2, IO_PAD284, output3, X, 616, 1, PULL1)," & --  PAD284
	" 618 (BC_2, IO_PAD284, input, X)," & --  PAD284
	" 619 (BC_2, *, controlr, 1)," &
	" 620 (BC_2, IO_PAD283, output3, X, 619, 1, PULL1)," & --  PAD283
	" 621 (BC_2, IO_PAD283, input, X)," & --  PAD283
	" 622 (BC_2, *, controlr, 1)," &
	" 623 (BC_2, IO_PAD282, output3, X, 622, 1, PULL1)," & --  PAD282
	" 624 (BC_2, IO_PAD282, input, X)," & --  PAD282
	" 625 (BC_2, *, controlr, 1)," &
	" 626 (BC_2, IO_PAD281, output3, X, 625, 1, PULL1)," & --  PAD281
	" 627 (BC_2, IO_PAD281, input, X)," & --  PAD281
	" 628 (BC_2, *, controlr, 1)," &
	" 629 (BC_2, IO_PAD280, output3, X, 628, 1, PULL1)," & --  PAD280
	" 630 (BC_2, IO_PAD280, input, X)," & --  PAD280
	" 631 (BC_2, *, controlr, 1)," &
	" 632 (BC_2, IO_PAD279, output3, X, 631, 1, PULL1)," & --  PAD279
	" 633 (BC_2, IO_PAD279, input, X)," & --  PAD279
	" 634 (BC_2, *, controlr, 1)," &
	" 635 (BC_2, IO_PAD278, output3, X, 634, 1, PULL1)," & --  PAD278
	" 636 (BC_2, IO_PAD278, input, X)," & --  PAD278
	" 637 (BC_2, *, controlr, 1)," &
	" 638 (BC_2, IO_PAD277, output3, X, 637, 1, PULL1)," & --  PAD277
	" 639 (BC_2, IO_PAD277, input, X)," & --  PAD277
	" 640 (BC_2, *, controlr, 1)," &
	" 641 (BC_2, IO_PAD276, output3, X, 640, 1, PULL1)," & --  PAD276
	" 642 (BC_2, IO_PAD276, input, X)," & --  PAD276
	" 643 (BC_2, *, controlr, 1)," &
	" 644 (BC_2, IO_PAD275, output3, X, 643, 1, PULL1)," & --  PAD275
	" 645 (BC_2, IO_PAD275, input, X)," & --  PAD275
	" 646 (BC_2, *, controlr, 1)," &
	" 647 (BC_2, IO_PAD274, output3, X, 646, 1, PULL1)," & --  PAD274
	" 648 (BC_2, IO_PAD274, input, X)," & --  PAD274
	" 649 (BC_2, *, controlr, 1)," &
	" 650 (BC_2, IO_PAD273, output3, X, 649, 1, PULL1)," & --  PAD273
	" 651 (BC_2, IO_PAD273, input, X)," & --  PAD273
	" 652 (BC_2, *, controlr, 1)," &
	" 653 (BC_2, IO_PAD272, output3, X, 652, 1, PULL1)," & --  PAD272
	" 654 (BC_2, IO_PAD272, input, X)," & --  PAD272
	" 655 (BC_2, *, controlr, 1)," &
	" 656 (BC_2, IO_PAD271, output3, X, 655, 1, PULL1)," & --  PAD271
	" 657 (BC_2, IO_PAD271, input, X)," & --  PAD271
	" 658 (BC_2, *, controlr, 1)," &
	" 659 (BC_2, IO_PAD270, output3, X, 658, 1, PULL1)," & --  PAD270
	" 660 (BC_2, IO_PAD270, input, X)," & --  PAD270
	" 661 (BC_2, *, controlr, 1)," &
	" 662 (BC_2, IO_PAD269, output3, X, 661, 1, PULL1)," & --  PAD269
	" 663 (BC_2, IO_PAD269, input, X)," & --  PAD269
	" 664 (BC_2, *, controlr, 1)," &
	" 665 (BC_2, IO_PAD268, output3, X, 664, 1, PULL1)," & --  PAD268
	" 666 (BC_2, IO_PAD268, input, X)," & --  PAD268
	" 667 (BC_2, *, controlr, 1)," &
	" 668 (BC_2, IO_PAD267, output3, X, 667, 1, PULL1)," & --  PAD267
	" 669 (BC_2, IO_PAD267, input, X)," & --  PAD267
	" 670 (BC_2, *, controlr, 1)," &
	" 671 (BC_2, IO_PAD266, output3, X, 670, 1, PULL1)," & --  PAD266
	" 672 (BC_2, IO_PAD266, input, X)," & --  PAD266
	" 673 (BC_2, *, controlr, 1)," &
	" 674 (BC_2, IO_PAD265, output3, X, 673, 1, PULL1)," & --  PAD265
	" 675 (BC_2, IO_PAD265, input, X)," & --  PAD265
	" 676 (BC_2, *, controlr, 1)," &
	" 677 (BC_2, IO_PAD264, output3, X, 676, 1, PULL1)," & --  PAD264
	" 678 (BC_2, IO_PAD264, input, X)," & --  PAD264
	" 679 (BC_2, *, controlr, 1)," &
	" 680 (BC_2, IO_PAD263, output3, X, 679, 1, PULL1)," & --  PAD263
	" 681 (BC_2, IO_PAD263, input, X)," & --  PAD263
	" 682 (BC_2, *, controlr, 1)," &
	" 683 (BC_2, IO_PAD262, output3, X, 682, 1, PULL1)," & --  PAD262
	" 684 (BC_2, IO_PAD262, input, X)," & --  PAD262
	" 685 (BC_2, *, controlr, 1)," &
	" 686 (BC_2, IO_PAD261, output3, X, 685, 1, PULL1)," & --  PAD261
	" 687 (BC_2, IO_PAD261, input, X)," & --  PAD261
	" 688 (BC_2, *, controlr, 1)," &
	" 689 (BC_2, IO_PAD260, output3, X, 688, 1, PULL1)," & --  PAD260
	" 690 (BC_2, IO_PAD260, input, X)," & --  PAD260
	" 691 (BC_2, *, controlr, 1)," &
	" 692 (BC_2, IO_PAD259, output3, X, 691, 1, PULL1)," & --  PAD259
	" 693 (BC_2, IO_PAD259, input, X)," & --  PAD259
	" 694 (BC_2, *, controlr, 1)," &
	" 695 (BC_2, IO_PAD258, output3, X, 694, 1, PULL1)," & --  PAD258
	" 696 (BC_2, IO_PAD258, input, X)," & --  PAD258
	" 697 (BC_2, *, controlr, 1)," &
	" 698 (BC_2, IO_PAD257, output3, X, 697, 1, PULL1)," & --  PAD257
	" 699 (BC_2, IO_PAD257, input, X)," & --  PAD257
	" 700 (BC_2, *, controlr, 1)," &
	" 701 (BC_2, IO_PAD256, output3, X, 700, 1, PULL1)," & --  PAD256
	" 702 (BC_2, IO_PAD256, input, X)," & --  PAD256
	" 703 (BC_2, *, controlr, 1)," &
	" 704 (BC_2, IO_PAD255, output3, X, 703, 1, PULL1)," & --  PAD255
	" 705 (BC_2, IO_PAD255, input, X)," & --  PAD255
	" 706 (BC_2, *, controlr, 1)," &
	" 707 (BC_2, IO_PAD254, output3, X, 706, 1, PULL1)," & --  PAD254
	" 708 (BC_2, IO_PAD254, input, X)," & --  PAD254
	" 709 (BC_2, *, controlr, 1)," &
	" 710 (BC_2, IO_PAD253, output3, X, 709, 1, PULL1)," & --  PAD253
	" 711 (BC_2, IO_PAD253, input, X)," & --  PAD253
	" 712 (BC_2, *, controlr, 1)," &
	" 713 (BC_2, IO_PAD252, output3, X, 712, 1, PULL1)," & --  PAD252
	" 714 (BC_2, IO_PAD252, input, X)," & --  PAD252
	" 715 (BC_2, *, controlr, 1)," &
	" 716 (BC_2, IO_PAD251, output3, X, 715, 1, PULL1)," & --  PAD251
	" 717 (BC_2, IO_PAD251, input, X)," & --  PAD251
	" 718 (BC_2, *, controlr, 1)," &
	" 719 (BC_2, IO_PAD250, output3, X, 718, 1, PULL1)," & --  PAD250
	" 720 (BC_2, IO_PAD250, input, X)," & --  PAD250
	" 721 (BC_2, *, controlr, 1)," &
	" 722 (BC_2, IO_PAD249, output3, X, 721, 1, PULL1)," & --  PAD249
	" 723 (BC_2, IO_PAD249, input, X)," & --  PAD249
	" 724 (BC_2, *, controlr, 1)," &
	" 725 (BC_2, IO_PAD248, output3, X, 724, 1, PULL1)," & --  PAD248
	" 726 (BC_2, IO_PAD248, input, X)," & --  PAD248
	" 727 (BC_2, *, controlr, 1)," &
	" 728 (BC_2, IO_PAD247, output3, X, 727, 1, PULL1)," & --  PAD247
	" 729 (BC_2, IO_PAD247, input, X)," & --  PAD247
	" 730 (BC_2, *, controlr, 1)," &
	" 731 (BC_2, IO_PAD246, output3, X, 730, 1, PULL1)," & --  PAD246
	" 732 (BC_2, IO_PAD246, input, X)," & --  PAD246
	" 733 (BC_2, *, controlr, 1)," &
	" 734 (BC_2, IO_PAD245, output3, X, 733, 1, PULL1)," & --  PAD245
	" 735 (BC_2, IO_PAD245, input, X)," & --  PAD245
	" 736 (BC_2, *, controlr, 1)," &
	" 737 (BC_2, IO_PAD244, output3, X, 736, 1, PULL1)," & --  PAD244
	" 738 (BC_2, IO_PAD244, input, X)," & --  PAD244
	" 739 (BC_2, *, controlr, 1)," &
	" 740 (BC_2, IO_PAD243, output3, X, 739, 1, PULL1)," & --  PAD243
	" 741 (BC_2, IO_PAD243, input, X)," & --  PAD243
	" 742 (BC_2, *, controlr, 1)," &
	" 743 (BC_2, IO_PAD242, output3, X, 742, 1, PULL1)," & --  PAD242
	" 744 (BC_2, IO_PAD242, input, X)," & --  PAD242
	" 745 (BC_2, *, controlr, 1)," &
	" 746 (BC_2, IO_PAD241, output3, X, 745, 1, PULL1)," & --  PAD241
	" 747 (BC_2, IO_PAD241, input, X)," & --  PAD241
	" 748 (BC_2, *, internal, X)," &
	" 749 (BC_2, *, internal, X)," &
	" 750 (BC_2, *, internal, X)," &
	" 751 (BC_2, *, internal, X)," &
	" 752 (BC_2, *, internal, X)," &
	" 753 (BC_2, *, internal, X)," &
	" 754 (BC_2, *, internal, X)," &
	" 755 (BC_4, MGTRXN0_113, OBSERVE_ONLY, X)," &
	" 756 (BC_4, MGTRXP0_113, OBSERVE_ONLY, X)," &
	" 757 (AC_2, MGTTXP0_113, OUTPUT2, X)," &
	" 758 (BC_4, MGTRXN1_113, OBSERVE_ONLY, X)," &
	" 759 (BC_4, MGTRXP1_113, OBSERVE_ONLY, X)," &
	" 760 (AC_2, MGTTXP1_113, OUTPUT2, X)," &
	" 761 (BC_4, MGTRXN2_113, OBSERVE_ONLY, X)," &
	" 762 (BC_4, MGTRXP2_113, OBSERVE_ONLY, X)," &
	" 763 (AC_2, MGTTXP2_113, OUTPUT2, X)," &
	" 764 (BC_4, MGTRXN3_113, OBSERVE_ONLY, X)," &
	" 765 (BC_4, MGTRXP3_113, OBSERVE_ONLY, X)," &
	" 766 (AC_2, MGTTXP3_113, OUTPUT2, X)," &
	" 767 (BC_4, MGTRXN0_114, OBSERVE_ONLY, X)," &
	" 768 (BC_4, MGTRXP0_114, OBSERVE_ONLY, X)," &
	" 769 (AC_2, MGTTXP0_114, OUTPUT2, X)," &
	" 770 (BC_4, MGTRXN1_114, OBSERVE_ONLY, X)," &
	" 771 (BC_4, MGTRXP1_114, OBSERVE_ONLY, X)," &
	" 772 (AC_2, MGTTXP1_114, OUTPUT2, X)," &
	" 773 (BC_4, MGTRXN2_114, OBSERVE_ONLY, X)," &
	" 774 (BC_4, MGTRXP2_114, OBSERVE_ONLY, X)," &
	" 775 (AC_2, MGTTXP2_114, OUTPUT2, X)," &
	" 776 (BC_4, MGTRXN3_114, OBSERVE_ONLY, X)," &
	" 777 (BC_4, MGTRXP3_114, OBSERVE_ONLY, X)," &
	" 778 (AC_2, MGTTXP3_114, OUTPUT2, X)," &
	" 779 (BC_4, MGTRXN0_115, OBSERVE_ONLY, X)," &
	" 780 (BC_4, MGTRXP0_115, OBSERVE_ONLY, X)," &
	" 781 (AC_2, MGTTXP0_115, OUTPUT2, X)," &
	" 782 (BC_4, MGTRXN1_115, OBSERVE_ONLY, X)," &
	" 783 (BC_4, MGTRXP1_115, OBSERVE_ONLY, X)," &
	" 784 (AC_2, MGTTXP1_115, OUTPUT2, X)," &
	" 785 (BC_4, MGTRXN2_115, OBSERVE_ONLY, X)," &
	" 786 (BC_4, MGTRXP2_115, OBSERVE_ONLY, X)," &
	" 787 (AC_2, MGTTXP2_115, OUTPUT2, X)," &
	" 788 (BC_4, MGTRXN3_115, OBSERVE_ONLY, X)," &
	" 789 (BC_4, MGTRXP3_115, OBSERVE_ONLY, X)," &
	" 790 (AC_2, MGTTXP3_115, OUTPUT2, X)," &
	" 791 (BC_4, MGTRXN2_116, OBSERVE_ONLY, 1)," &
	" 792 (BC_4, MGTRXP2_116, OBSERVE_ONLY, 1)," &
	" 793 (BC_4, MGTRXN3_116, OBSERVE_ONLY, 1)," &
	" 794 (BC_4, MGTRXP3_116, OBSERVE_ONLY, 1)," &
	" 795 (AC_1, MGTTXP2_116, OUTPUT2, 1)," &
	" 796 (AC_1, MGTTXP3_116, OUTPUT2, 1)," &
	" 797 (BC_4, MGTRXN0_116, OBSERVE_ONLY, 1)," &
	" 798 (BC_4, MGTRXP0_116, OBSERVE_ONLY, 1)," &
	" 799 (BC_4, MGTRXN1_116, OBSERVE_ONLY, 1)," &
	" 800 (BC_4, MGTRXP1_116, OBSERVE_ONLY, 1)," &
	" 801 (AC_1, MGTTXP0_116, OUTPUT2, 1)," &
	" 802 (AC_1, MGTTXP1_116, OUTPUT2, 1)," &
	" 803 (BC_4, MGTRXN2_117, OBSERVE_ONLY, X)," &
	" 804 (BC_4, MGTRXP2_117, OBSERVE_ONLY, X)," &
	" 805 (BC_4, MGTRXN3_117, OBSERVE_ONLY, X)," &
	" 806 (BC_4, MGTRXP3_117, OBSERVE_ONLY, X)," &
	" 807 (AC_1, MGTTXP2_117, OUTPUT2, X)," &
	" 808 (AC_1, MGTTXP3_117, OUTPUT2, X)," &
	" 809 (BC_4, MGTRXN0_117, OBSERVE_ONLY, X)," &
	" 810 (BC_4, MGTRXP0_117, OBSERVE_ONLY, X)," &
	" 811 (BC_4, MGTRXN1_117, OBSERVE_ONLY, X)," &
	" 812 (BC_4, MGTRXP1_117, OBSERVE_ONLY, X)," &
	" 813 (AC_1, MGTTXP0_117, OUTPUT2, X)," &
	" 814 (AC_1, MGTTXP1_117, OUTPUT2, X)," &
	" 815 (BC_4, MGTRXN2_118, OBSERVE_ONLY, X)," &
	" 816 (BC_4, MGTRXP2_118, OBSERVE_ONLY, X)," &
	" 817 (BC_4, MGTRXN3_118, OBSERVE_ONLY, X)," &
	" 818 (BC_4, MGTRXP3_118, OBSERVE_ONLY, X)," &
	" 819 (AC_1, MGTTXP2_118, OUTPUT2, X)," &
	" 820 (AC_1, MGTTXP3_118, OUTPUT2, X)," &
	" 821 (BC_4, MGTRXN0_118, OBSERVE_ONLY, X)," &
	" 822 (BC_4, MGTRXP0_118, OBSERVE_ONLY, X)," &
	" 823 (BC_4, MGTRXN1_118, OBSERVE_ONLY, X)," &
	" 824 (BC_4, MGTRXP1_118, OBSERVE_ONLY, X)," &
	" 825 (AC_1, MGTTXP0_118, OUTPUT2, X)," &
	" 826 (AC_1, MGTTXP1_118, OUTPUT2, X)," &
	" 827 (BC_2, *, internal, X)," &
	" 828 (BC_2, *, internal, X)," &
	" 829 (BC_2, *, internal, X)," &
	" 830 (BC_2, *, internal, X)," &
	" 831 (BC_2, *, internal, X)," &
	" 832 (BC_2, *, internal, X)," &
	" 833 (BC_2, *, internal, X)," &
	" 834 (BC_2, *, controlr, 1)," &
	" 835 (BC_2, IO_PAD240, output3, X, 834, 1, PULL1)," & --  PAD240
	" 836 (BC_2, IO_PAD240, input, X)," & --  PAD240
	" 837 (BC_2, *, controlr, 1)," &
	" 838 (BC_2, IO_PAD239, output3, X, 837, 1, PULL1)," & --  PAD239
	" 839 (BC_2, IO_PAD239, input, X)," & --  PAD239
	" 840 (BC_2, *, controlr, 1)," &
	" 841 (BC_2, IO_PAD238, output3, X, 840, 1, PULL1)," & --  PAD238
	" 842 (BC_2, IO_PAD238, input, X)," & --  PAD238
	" 843 (BC_2, *, controlr, 1)," &
	" 844 (BC_2, IO_PAD237, output3, X, 843, 1, PULL1)," & --  PAD237
	" 845 (BC_2, IO_PAD237, input, X)," & --  PAD237
	" 846 (BC_2, *, controlr, 1)," &
	" 847 (BC_2, IO_PAD236, output3, X, 846, 1, PULL1)," & --  PAD236
	" 848 (BC_2, IO_PAD236, input, X)," & --  PAD236
	" 849 (BC_2, *, controlr, 1)," &
	" 850 (BC_2, IO_PAD235, output3, X, 849, 1, PULL1)," & --  PAD235
	" 851 (BC_2, IO_PAD235, input, X)," & --  PAD235
	" 852 (BC_2, *, controlr, 1)," &
	" 853 (BC_2, IO_PAD234, output3, X, 852, 1, PULL1)," & --  PAD234
	" 854 (BC_2, IO_PAD234, input, X)," & --  PAD234
	" 855 (BC_2, *, controlr, 1)," &
	" 856 (BC_2, IO_PAD233, output3, X, 855, 1, PULL1)," & --  PAD233
	" 857 (BC_2, IO_PAD233, input, X)," & --  PAD233
	" 858 (BC_2, *, controlr, 1)," &
	" 859 (BC_2, IO_PAD232, output3, X, 858, 1, PULL1)," & --  PAD232
	" 860 (BC_2, IO_PAD232, input, X)," & --  PAD232
	" 861 (BC_2, *, controlr, 1)," &
	" 862 (BC_2, IO_PAD231, output3, X, 861, 1, PULL1)," & --  PAD231
	" 863 (BC_2, IO_PAD231, input, X)," & --  PAD231
	" 864 (BC_2, *, controlr, 1)," &
	" 865 (BC_2, IO_PAD230, output3, X, 864, 1, PULL1)," & --  PAD230
	" 866 (BC_2, IO_PAD230, input, X)," & --  PAD230
	" 867 (BC_2, *, controlr, 1)," &
	" 868 (BC_2, IO_PAD229, output3, X, 867, 1, PULL1)," & --  PAD229
	" 869 (BC_2, IO_PAD229, input, X)," & --  PAD229
	" 870 (BC_2, *, controlr, 1)," &
	" 871 (BC_2, IO_PAD228, output3, X, 870, 1, PULL1)," & --  PAD228
	" 872 (BC_2, IO_PAD228, input, X)," & --  PAD228
	" 873 (BC_2, *, controlr, 1)," &
	" 874 (BC_2, IO_PAD227, output3, X, 873, 1, PULL1)," & --  PAD227
	" 875 (BC_2, IO_PAD227, input, X)," & --  PAD227
	" 876 (BC_2, *, controlr, 1)," &
	" 877 (BC_2, IO_PAD226, output3, X, 876, 1, PULL1)," & --  PAD226
	" 878 (BC_2, IO_PAD226, input, X)," & --  PAD226
	" 879 (BC_2, *, controlr, 1)," &
	" 880 (BC_2, IO_PAD225, output3, X, 879, 1, PULL1)," & --  PAD225
	" 881 (BC_2, IO_PAD225, input, X)," & --  PAD225
	" 882 (BC_2, *, controlr, 1)," &
	" 883 (BC_2, IO_PAD224, output3, X, 882, 1, PULL1)," & --  PAD224
	" 884 (BC_2, IO_PAD224, input, X)," & --  PAD224
	" 885 (BC_2, *, controlr, 1)," &
	" 886 (BC_2, IO_PAD223, output3, X, 885, 1, PULL1)," & --  PAD223
	" 887 (BC_2, IO_PAD223, input, X)," & --  PAD223
	" 888 (BC_2, *, controlr, 1)," &
	" 889 (BC_2, IO_PAD222, output3, X, 888, 1, PULL1)," & --  PAD222
	" 890 (BC_2, IO_PAD222, input, X)," & --  PAD222
	" 891 (BC_2, *, controlr, 1)," &
	" 892 (BC_2, IO_PAD221, output3, X, 891, 1, PULL1)," & --  PAD221
	" 893 (BC_2, IO_PAD221, input, X)," & --  PAD221
	" 894 (BC_2, *, controlr, 1)," &
	" 895 (BC_2, IO_PAD220, output3, X, 894, 1, PULL1)," & --  PAD220
	" 896 (BC_2, IO_PAD220, input, X)," & --  PAD220
	" 897 (BC_2, *, controlr, 1)," &
	" 898 (BC_2, IO_PAD219, output3, X, 897, 1, PULL1)," & --  PAD219
	" 899 (BC_2, IO_PAD219, input, X)," & --  PAD219
	" 900 (BC_2, *, controlr, 1)," &
	" 901 (BC_2, IO_PAD218, output3, X, 900, 1, PULL1)," & --  PAD218
	" 902 (BC_2, IO_PAD218, input, X)," & --  PAD218
	" 903 (BC_2, *, controlr, 1)," &
	" 904 (BC_2, IO_PAD217, output3, X, 903, 1, PULL1)," & --  PAD217
	" 905 (BC_2, IO_PAD217, input, X)," & --  PAD217
	" 906 (BC_2, *, controlr, 1)," &
	" 907 (BC_2, IO_PAD216, output3, X, 906, 1, PULL1)," & --  PAD216
	" 908 (BC_2, IO_PAD216, input, X)," & --  PAD216
	" 909 (BC_2, *, controlr, 1)," &
	" 910 (BC_2, IO_PAD215, output3, X, 909, 1, PULL1)," & --  PAD215
	" 911 (BC_2, IO_PAD215, input, X)," & --  PAD215
	" 912 (BC_2, *, controlr, 1)," &
	" 913 (BC_2, IO_PAD214, output3, X, 912, 1, PULL1)," & --  PAD214
	" 914 (BC_2, IO_PAD214, input, X)," & --  PAD214
	" 915 (BC_2, *, controlr, 1)," &
	" 916 (BC_2, IO_PAD213, output3, X, 915, 1, PULL1)," & --  PAD213
	" 917 (BC_2, IO_PAD213, input, X)," & --  PAD213
	" 918 (BC_2, *, controlr, 1)," &
	" 919 (BC_2, IO_PAD212, output3, X, 918, 1, PULL1)," & --  PAD212
	" 920 (BC_2, IO_PAD212, input, X)," & --  PAD212
	" 921 (BC_2, *, controlr, 1)," &
	" 922 (BC_2, IO_PAD211, output3, X, 921, 1, PULL1)," & --  PAD211
	" 923 (BC_2, IO_PAD211, input, X)," & --  PAD211
	" 924 (BC_2, *, controlr, 1)," &
	" 925 (BC_2, IO_PAD210, output3, X, 924, 1, PULL1)," & --  PAD210
	" 926 (BC_2, IO_PAD210, input, X)," & --  PAD210
	" 927 (BC_2, *, controlr, 1)," &
	" 928 (BC_2, IO_PAD209, output3, X, 927, 1, PULL1)," & --  PAD209
	" 929 (BC_2, IO_PAD209, input, X)," & --  PAD209
	" 930 (BC_2, *, controlr, 1)," &
	" 931 (BC_2, IO_PAD208, output3, X, 930, 1, PULL1)," & --  PAD208
	" 932 (BC_2, IO_PAD208, input, X)," & --  PAD208
	" 933 (BC_2, *, controlr, 1)," &
	" 934 (BC_2, IO_PAD207, output3, X, 933, 1, PULL1)," & --  PAD207
	" 935 (BC_2, IO_PAD207, input, X)," & --  PAD207
	" 936 (BC_2, *, controlr, 1)," &
	" 937 (BC_2, IO_PAD206, output3, X, 936, 1, PULL1)," & --  PAD206
	" 938 (BC_2, IO_PAD206, input, X)," & --  PAD206
	" 939 (BC_2, *, controlr, 1)," &
	" 940 (BC_2, IO_PAD205, output3, X, 939, 1, PULL1)," & --  PAD205
	" 941 (BC_2, IO_PAD205, input, X)," & --  PAD205
	" 942 (BC_2, *, controlr, 1)," &
	" 943 (BC_2, IO_PAD204, output3, X, 942, 1, PULL1)," & --  PAD204
	" 944 (BC_2, IO_PAD204, input, X)," & --  PAD204
	" 945 (BC_2, *, controlr, 1)," &
	" 946 (BC_2, IO_PAD203, output3, X, 945, 1, PULL1)," & --  PAD203
	" 947 (BC_2, IO_PAD203, input, X)," & --  PAD203
	" 948 (BC_2, *, controlr, 1)," &
	" 949 (BC_2, IO_PAD202, output3, X, 948, 1, PULL1)," & --  PAD202
	" 950 (BC_2, IO_PAD202, input, X)," & --  PAD202
	" 951 (BC_2, *, controlr, 1)," &
	" 952 (BC_2, IO_PAD201, output3, X, 951, 1, PULL1)," & --  PAD201
	" 953 (BC_2, IO_PAD201, input, X)," & --  PAD201
	" 954 (BC_2, *, controlr, 1)," &
	" 955 (BC_2, IO_PAD200, output3, X, 954, 1, PULL1)," & --  PAD200
	" 956 (BC_2, IO_PAD200, input, X)," & --  PAD200
	" 957 (BC_2, *, controlr, 1)," &
	" 958 (BC_2, IO_PAD199, output3, X, 957, 1, PULL1)," & --  PAD199
	" 959 (BC_2, IO_PAD199, input, X)," & --  PAD199
	" 960 (BC_2, *, controlr, 1)," &
	" 961 (BC_2, IO_PAD198, output3, X, 960, 1, PULL1)," & --  PAD198
	" 962 (BC_2, IO_PAD198, input, X)," & --  PAD198
	" 963 (BC_2, *, controlr, 1)," &
	" 964 (BC_2, IO_PAD197, output3, X, 963, 1, PULL1)," & --  PAD197
	" 965 (BC_2, IO_PAD197, input, X)," & --  PAD197
	" 966 (BC_2, *, controlr, 1)," &
	" 967 (BC_2, IO_PAD196, output3, X, 966, 1, PULL1)," & --  PAD196
	" 968 (BC_2, IO_PAD196, input, X)," & --  PAD196
	" 969 (BC_2, *, controlr, 1)," &
	" 970 (BC_2, IO_PAD195, output3, X, 969, 1, PULL1)," & --  PAD195
	" 971 (BC_2, IO_PAD195, input, X)," & --  PAD195
	" 972 (BC_2, *, controlr, 1)," &
	" 973 (BC_2, IO_PAD194, output3, X, 972, 1, PULL1)," & --  PAD194
	" 974 (BC_2, IO_PAD194, input, X)," & --  PAD194
	" 975 (BC_2, *, controlr, 1)," &
	" 976 (BC_2, IO_PAD193, output3, X, 975, 1, PULL1)," & --  PAD193
	" 977 (BC_2, IO_PAD193, input, X)," & --  PAD193
	" 978 (BC_2, *, controlr, 1)," &
	" 979 (BC_2, IO_PAD192, output3, X, 978, 1, PULL1)," & --  PAD192
	" 980 (BC_2, IO_PAD192, input, X)," & --  PAD192
	" 981 (BC_2, *, controlr, 1)," &
	" 982 (BC_2, IO_PAD191, output3, X, 981, 1, PULL1)," & --  PAD191
	" 983 (BC_2, IO_PAD191, input, X)," & --  PAD191
	" 984 (BC_2, *, controlr, 1)," &
	" 985 (BC_2, IO_PAD190, output3, X, 984, 1, PULL1)," & --  PAD190
	" 986 (BC_2, IO_PAD190, input, X)," & --  PAD190
	" 987 (BC_2, *, controlr, 1)," &
	" 988 (BC_2, IO_PAD189, output3, X, 987, 1, PULL1)," & --  PAD189
	" 989 (BC_2, IO_PAD189, input, X)," & --  PAD189
	" 990 (BC_2, *, controlr, 1)," &
	" 991 (BC_2, IO_PAD188, output3, X, 990, 1, PULL1)," & --  PAD188
	" 992 (BC_2, IO_PAD188, input, X)," & --  PAD188
	" 993 (BC_2, *, controlr, 1)," &
	" 994 (BC_2, IO_PAD187, output3, X, 993, 1, PULL1)," & --  PAD187
	" 995 (BC_2, IO_PAD187, input, X)," & --  PAD187
	" 996 (BC_2, *, controlr, 1)," &
	" 997 (BC_2, IO_PAD186, output3, X, 996, 1, PULL1)," & --  PAD186
	" 998 (BC_2, IO_PAD186, input, X)," & --  PAD186
	" 999 (BC_2, *, controlr, 1)," &
	"1000 (BC_2, IO_PAD185, output3, X, 999, 1, PULL1)," & --  PAD185
	"1001 (BC_2, IO_PAD185, input, X)," & --  PAD185
	"1002 (BC_2, *, controlr, 1)," &
	"1003 (BC_2, IO_PAD184, output3, X, 1002, 1, PULL1)," & --  PAD184
	"1004 (BC_2, IO_PAD184, input, X)," & --  PAD184
	"1005 (BC_2, *, controlr, 1)," &
	"1006 (BC_2, IO_PAD183, output3, X, 1005, 1, PULL1)," & --  PAD183
	"1007 (BC_2, IO_PAD183, input, X)," & --  PAD183
	"1008 (BC_2, *, controlr, 1)," &
	"1009 (BC_2, IO_PAD182, output3, X, 1008, 1, PULL1)," & --  PAD182
	"1010 (BC_2, IO_PAD182, input, X)," & --  PAD182
	"1011 (BC_2, *, controlr, 1)," &
	"1012 (BC_2, IO_PAD181, output3, X, 1011, 1, PULL1)," & --  PAD181
	"1013 (BC_2, IO_PAD181, input, X)," & --  PAD181
	"1014 (BC_2, *, controlr, 1)," &
	"1015 (BC_2, IO_PAD180, output3, X, 1014, 1, PULL1)," & --  PAD180
	"1016 (BC_2, IO_PAD180, input, X)," & --  PAD180
	"1017 (BC_2, *, controlr, 1)," &
	"1018 (BC_2, IO_PAD179, output3, X, 1017, 1, PULL1)," & --  PAD179
	"1019 (BC_2, IO_PAD179, input, X)," & --  PAD179
	"1020 (BC_2, *, controlr, 1)," &
	"1021 (BC_2, IO_PAD178, output3, X, 1020, 1, PULL1)," & --  PAD178
	"1022 (BC_2, IO_PAD178, input, X)," & --  PAD178
	"1023 (BC_2, *, controlr, 1)," &
	"1024 (BC_2, IO_PAD177, output3, X, 1023, 1, PULL1)," & --  PAD177
	"1025 (BC_2, IO_PAD177, input, X)," & --  PAD177
	"1026 (BC_2, *, controlr, 1)," &
	"1027 (BC_2, IO_PAD176, output3, X, 1026, 1, PULL1)," & --  PAD176
	"1028 (BC_2, IO_PAD176, input, X)," & --  PAD176
	"1029 (BC_2, *, controlr, 1)," &
	"1030 (BC_2, IO_PAD175, output3, X, 1029, 1, PULL1)," & --  PAD175
	"1031 (BC_2, IO_PAD175, input, X)," & --  PAD175
	"1032 (BC_2, *, controlr, 1)," &
	"1033 (BC_2, IO_PAD174, output3, X, 1032, 1, PULL1)," & --  PAD174
	"1034 (BC_2, IO_PAD174, input, X)," & --  PAD174
	"1035 (BC_2, *, controlr, 1)," &
	"1036 (BC_2, IO_PAD173, output3, X, 1035, 1, PULL1)," & --  PAD173
	"1037 (BC_2, IO_PAD173, input, X)," & --  PAD173
	"1038 (BC_2, *, controlr, 1)," &
	"1039 (BC_2, IO_PAD172, output3, X, 1038, 1, PULL1)," & --  PAD172
	"1040 (BC_2, IO_PAD172, input, X)," & --  PAD172
	"1041 (BC_2, *, controlr, 1)," &
	"1042 (BC_2, IO_PAD171, output3, X, 1041, 1, PULL1)," & --  PAD171
	"1043 (BC_2, IO_PAD171, input, X)," & --  PAD171
	"1044 (BC_2, *, controlr, 1)," &
	"1045 (BC_2, IO_PAD170, output3, X, 1044, 1, PULL1)," & --  PAD170
	"1046 (BC_2, IO_PAD170, input, X)," & --  PAD170
	"1047 (BC_2, *, controlr, 1)," &
	"1048 (BC_2, IO_PAD169, output3, X, 1047, 1, PULL1)," & --  PAD169
	"1049 (BC_2, IO_PAD169, input, X)," & --  PAD169
	"1050 (BC_2, *, controlr, 1)," &
	"1051 (BC_2, IO_PAD168, output3, X, 1050, 1, PULL1)," & --  PAD168
	"1052 (BC_2, IO_PAD168, input, X)," & --  PAD168
	"1053 (BC_2, *, controlr, 1)," &
	"1054 (BC_2, IO_PAD167, output3, X, 1053, 1, PULL1)," & --  PAD167
	"1055 (BC_2, IO_PAD167, input, X)," & --  PAD167
	"1056 (BC_2, *, controlr, 1)," &
	"1057 (BC_2, IO_PAD166, output3, X, 1056, 1, PULL1)," & --  PAD166
	"1058 (BC_2, IO_PAD166, input, X)," & --  PAD166
	"1059 (BC_2, *, controlr, 1)," &
	"1060 (BC_2, IO_PAD165, output3, X, 1059, 1, PULL1)," & --  PAD165
	"1061 (BC_2, IO_PAD165, input, X)," & --  PAD165
	"1062 (BC_2, *, controlr, 1)," &
	"1063 (BC_2, IO_PAD164, output3, X, 1062, 1, PULL1)," & --  PAD164
	"1064 (BC_2, IO_PAD164, input, X)," & --  PAD164
	"1065 (BC_2, *, controlr, 1)," &
	"1066 (BC_2, IO_PAD163, output3, X, 1065, 1, PULL1)," & --  PAD163
	"1067 (BC_2, IO_PAD163, input, X)," & --  PAD163
	"1068 (BC_2, *, controlr, 1)," &
	"1069 (BC_2, IO_PAD162, output3, X, 1068, 1, PULL1)," & --  PAD162
	"1070 (BC_2, IO_PAD162, input, X)," & --  PAD162
	"1071 (BC_2, *, controlr, 1)," &
	"1072 (BC_2, IO_PAD161, output3, X, 1071, 1, PULL1)," & --  PAD161
	"1073 (BC_2, IO_PAD161, input, X)," & --  PAD161
	"1074 (BC_2, *, controlr, 1)," &
	"1075 (BC_2, IO_PAD160, output3, X, 1074, 1, PULL1)," & --  PAD160
	"1076 (BC_2, IO_PAD160, input, X)," & --  PAD160
	"1077 (BC_2, *, controlr, 1)," &
	"1078 (BC_2, IO_PAD159, output3, X, 1077, 1, PULL1)," & --  PAD159
	"1079 (BC_2, IO_PAD159, input, X)," & --  PAD159
	"1080 (BC_2, *, controlr, 1)," &
	"1081 (BC_2, IO_PAD158, output3, X, 1080, 1, PULL1)," & --  PAD158
	"1082 (BC_2, IO_PAD158, input, X)," & --  PAD158
	"1083 (BC_2, *, controlr, 1)," &
	"1084 (BC_2, IO_PAD157, output3, X, 1083, 1, PULL1)," & --  PAD157
	"1085 (BC_2, IO_PAD157, input, X)," & --  PAD157
	"1086 (BC_2, *, controlr, 1)," &
	"1087 (BC_2, IO_PAD156, output3, X, 1086, 1, PULL1)," & --  PAD156
	"1088 (BC_2, IO_PAD156, input, X)," & --  PAD156
	"1089 (BC_2, *, controlr, 1)," &
	"1090 (BC_2, IO_PAD155, output3, X, 1089, 1, PULL1)," & --  PAD155
	"1091 (BC_2, IO_PAD155, input, X)," & --  PAD155
	"1092 (BC_2, *, controlr, 1)," &
	"1093 (BC_2, IO_PAD154, output3, X, 1092, 1, PULL1)," & --  PAD154
	"1094 (BC_2, IO_PAD154, input, X)," & --  PAD154
	"1095 (BC_2, *, controlr, 1)," &
	"1096 (BC_2, IO_PAD153, output3, X, 1095, 1, PULL1)," & --  PAD153
	"1097 (BC_2, IO_PAD153, input, X)," & --  PAD153
	"1098 (BC_2, *, controlr, 1)," &
	"1099 (BC_2, IO_PAD152, output3, X, 1098, 1, PULL1)," & --  PAD152
	"1100 (BC_2, IO_PAD152, input, X)," & --  PAD152
	"1101 (BC_2, *, controlr, 1)," &
	"1102 (BC_2, IO_PAD151, output3, X, 1101, 1, PULL1)," & --  PAD151
	"1103 (BC_2, IO_PAD151, input, X)," & --  PAD151
	"1104 (BC_2, *, controlr, 1)," &
	"1105 (BC_2, IO_PAD150, output3, X, 1104, 1, PULL1)," & --  PAD150
	"1106 (BC_2, IO_PAD150, input, X)," & --  PAD150
	"1107 (BC_2, *, controlr, 1)," &
	"1108 (BC_2, IO_PAD149, output3, X, 1107, 1, PULL1)," & --  PAD149
	"1109 (BC_2, IO_PAD149, input, X)," & --  PAD149
	"1110 (BC_2, *, controlr, 1)," &
	"1111 (BC_2, IO_PAD148, output3, X, 1110, 1, PULL1)," & --  PAD148
	"1112 (BC_2, IO_PAD148, input, X)," & --  PAD148
	"1113 (BC_2, *, controlr, 1)," &
	"1114 (BC_2, IO_PAD147, output3, X, 1113, 1, PULL1)," & --  PAD147
	"1115 (BC_2, IO_PAD147, input, X)," & --  PAD147
	"1116 (BC_2, *, controlr, 1)," &
	"1117 (BC_2, IO_PAD146, output3, X, 1116, 1, PULL1)," & --  PAD146
	"1118 (BC_2, IO_PAD146, input, X)," & --  PAD146
	"1119 (BC_2, *, controlr, 1)," &
	"1120 (BC_2, IO_PAD145, output3, X, 1119, 1, PULL1)," & --  PAD145
	"1121 (BC_2, IO_PAD145, input, X)," & --  PAD145
	"1122 (BC_2, *, controlr, 1)," &
	"1123 (BC_2, IO_PAD144, output3, X, 1122, 1, PULL1)," & --  PAD144
	"1124 (BC_2, IO_PAD144, input, X)," & --  PAD144
	"1125 (BC_2, *, controlr, 1)," &
	"1126 (BC_2, IO_PAD143, output3, X, 1125, 1, PULL1)," & --  PAD143
	"1127 (BC_2, IO_PAD143, input, X)," & --  PAD143
	"1128 (BC_2, *, controlr, 1)," &
	"1129 (BC_2, IO_PAD142, output3, X, 1128, 1, PULL1)," & --  PAD142
	"1130 (BC_2, IO_PAD142, input, X)," & --  PAD142
	"1131 (BC_2, *, controlr, 1)," &
	"1132 (BC_2, IO_PAD141, output3, X, 1131, 1, PULL1)," & --  PAD141
	"1133 (BC_2, IO_PAD141, input, X)," & --  PAD141
	"1134 (BC_2, *, controlr, 1)," &
	"1135 (BC_2, IO_PAD140, output3, X, 1134, 1, PULL1)," & --  PAD140
	"1136 (BC_2, IO_PAD140, input, X)," & --  PAD140
	"1137 (BC_2, *, controlr, 1)," &
	"1138 (BC_2, IO_PAD139, output3, X, 1137, 1, PULL1)," & --  PAD139
	"1139 (BC_2, IO_PAD139, input, X)," & --  PAD139
	"1140 (BC_2, *, controlr, 1)," &
	"1141 (BC_2, IO_PAD138, output3, X, 1140, 1, PULL1)," & --  PAD138
	"1142 (BC_2, IO_PAD138, input, X)," & --  PAD138
	"1143 (BC_2, *, controlr, 1)," &
	"1144 (BC_2, IO_PAD137, output3, X, 1143, 1, PULL1)," & --  PAD137
	"1145 (BC_2, IO_PAD137, input, X)," & --  PAD137
	"1146 (BC_2, *, controlr, 1)," &
	"1147 (BC_2, IO_PAD136, output3, X, 1146, 1, PULL1)," & --  PAD136
	"1148 (BC_2, IO_PAD136, input, X)," & --  PAD136
	"1149 (BC_2, *, controlr, 1)," &
	"1150 (BC_2, IO_PAD135, output3, X, 1149, 1, PULL1)," & --  PAD135
	"1151 (BC_2, IO_PAD135, input, X)," & --  PAD135
	"1152 (BC_2, *, controlr, 1)," &
	"1153 (BC_2, IO_PAD134, output3, X, 1152, 1, PULL1)," & --  PAD134
	"1154 (BC_2, IO_PAD134, input, X)," & --  PAD134
	"1155 (BC_2, *, controlr, 1)," &
	"1156 (BC_2, IO_PAD133, output3, X, 1155, 1, PULL1)," & --  PAD133
	"1157 (BC_2, IO_PAD133, input, X)," & --  PAD133
	"1158 (BC_2, *, controlr, 1)," &
	"1159 (BC_2, IO_PAD132, output3, X, 1158, 1, PULL1)," & --  PAD132
	"1160 (BC_2, IO_PAD132, input, X)," & --  PAD132
	"1161 (BC_2, *, controlr, 1)," &
	"1162 (BC_2, IO_PAD131, output3, X, 1161, 1, PULL1)," & --  PAD131
	"1163 (BC_2, IO_PAD131, input, X)," & --  PAD131
	"1164 (BC_2, *, controlr, 1)," &
	"1165 (BC_2, IO_PAD130, output3, X, 1164, 1, PULL1)," & --  PAD130
	"1166 (BC_2, IO_PAD130, input, X)," & --  PAD130
	"1167 (BC_2, *, controlr, 1)," &
	"1168 (BC_2, IO_PAD129, output3, X, 1167, 1, PULL1)," & --  PAD129
	"1169 (BC_2, IO_PAD129, input, X)," & --  PAD129
	"1170 (BC_2, *, controlr, 1)," &
	"1171 (BC_2, IO_PAD128, output3, X, 1170, 1, PULL1)," & --  PAD128
	"1172 (BC_2, IO_PAD128, input, X)," & --  PAD128
	"1173 (BC_2, *, controlr, 1)," &
	"1174 (BC_2, IO_PAD127, output3, X, 1173, 1, PULL1)," & --  PAD127
	"1175 (BC_2, IO_PAD127, input, X)," & --  PAD127
	"1176 (BC_2, *, controlr, 1)," &
	"1177 (BC_2, IO_PAD126, output3, X, 1176, 1, PULL1)," & --  PAD126
	"1178 (BC_2, IO_PAD126, input, X)," & --  PAD126
	"1179 (BC_2, *, controlr, 1)," &
	"1180 (BC_2, IO_PAD125, output3, X, 1179, 1, PULL1)," & --  PAD125
	"1181 (BC_2, IO_PAD125, input, X)," & --  PAD125
	"1182 (BC_2, *, controlr, 1)," &
	"1183 (BC_2, IO_PAD124, output3, X, 1182, 1, PULL1)," & --  PAD124
	"1184 (BC_2, IO_PAD124, input, X)," & --  PAD124
	"1185 (BC_2, *, controlr, 1)," &
	"1186 (BC_2, IO_PAD123, output3, X, 1185, 1, PULL1)," & --  PAD123
	"1187 (BC_2, IO_PAD123, input, X)," & --  PAD123
	"1188 (BC_2, *, controlr, 1)," &
	"1189 (BC_2, IO_PAD122, output3, X, 1188, 1, PULL1)," & --  PAD122
	"1190 (BC_2, IO_PAD122, input, X)," & --  PAD122
	"1191 (BC_2, *, controlr, 1)," &
	"1192 (BC_2, IO_PAD121, output3, X, 1191, 1, PULL1)," & --  PAD121
	"1193 (BC_2, IO_PAD121, input, X)," & --  PAD121
	"1194 (BC_2, *, controlr, 1)," &
	"1195 (BC_2, IO_PAD120, output3, X, 1194, 1, PULL1)," & --  PAD120
	"1196 (BC_2, IO_PAD120, input, X)," & --  PAD120
	"1197 (BC_2, *, controlr, 1)," &
	"1198 (BC_2, IO_PAD119, output3, X, 1197, 1, PULL1)," & --  PAD119
	"1199 (BC_2, IO_PAD119, input, X)," & --  PAD119
	"1200 (BC_2, *, controlr, 1)," &
	"1201 (BC_2, IO_PAD118, output3, X, 1200, 1, PULL1)," & --  PAD118
	"1202 (BC_2, IO_PAD118, input, X)," & --  PAD118
	"1203 (BC_2, *, controlr, 1)," &
	"1204 (BC_2, IO_PAD117, output3, X, 1203, 1, PULL1)," & --  PAD117
	"1205 (BC_2, IO_PAD117, input, X)," & --  PAD117
	"1206 (BC_2, *, controlr, 1)," &
	"1207 (BC_2, IO_PAD116, output3, X, 1206, 1, PULL1)," & --  PAD116
	"1208 (BC_2, IO_PAD116, input, X)," & --  PAD116
	"1209 (BC_2, *, controlr, 1)," &
	"1210 (BC_2, IO_PAD115, output3, X, 1209, 1, PULL1)," & --  PAD115
	"1211 (BC_2, IO_PAD115, input, X)," & --  PAD115
	"1212 (BC_2, *, controlr, 1)," &
	"1213 (BC_2, IO_PAD114, output3, X, 1212, 1, PULL1)," & --  PAD114
	"1214 (BC_2, IO_PAD114, input, X)," & --  PAD114
	"1215 (BC_2, *, controlr, 1)," &
	"1216 (BC_2, IO_PAD113, output3, X, 1215, 1, PULL1)," & --  PAD113
	"1217 (BC_2, IO_PAD113, input, X)," & --  PAD113
	"1218 (BC_2, *, controlr, 1)," &
	"1219 (BC_2, IO_PAD112, output3, X, 1218, 1, PULL1)," & --  PAD112
	"1220 (BC_2, IO_PAD112, input, X)," & --  PAD112
	"1221 (BC_2, *, controlr, 1)," &
	"1222 (BC_2, IO_PAD111, output3, X, 1221, 1, PULL1)," & --  PAD111
	"1223 (BC_2, IO_PAD111, input, X)," & --  PAD111
	"1224 (BC_2, *, controlr, 1)," &
	"1225 (BC_2, IO_PAD110, output3, X, 1224, 1, PULL1)," & --  PAD110
	"1226 (BC_2, IO_PAD110, input, X)," & --  PAD110
	"1227 (BC_2, *, controlr, 1)," &
	"1228 (BC_2, IO_PAD109, output3, X, 1227, 1, PULL1)," & --  PAD109
	"1229 (BC_2, IO_PAD109, input, X)," & --  PAD109
	"1230 (BC_2, *, controlr, 1)," &
	"1231 (BC_2, IO_PAD108, output3, X, 1230, 1, PULL1)," & --  PAD108
	"1232 (BC_2, IO_PAD108, input, X)," & --  PAD108
	"1233 (BC_2, *, controlr, 1)," &
	"1234 (BC_2, IO_PAD107, output3, X, 1233, 1, PULL1)," & --  PAD107
	"1235 (BC_2, IO_PAD107, input, X)," & --  PAD107
	"1236 (BC_2, *, controlr, 1)," &
	"1237 (BC_2, IO_PAD106, output3, X, 1236, 1, PULL1)," & --  PAD106
	"1238 (BC_2, IO_PAD106, input, X)," & --  PAD106
	"1239 (BC_2, *, controlr, 1)," &
	"1240 (BC_2, IO_PAD105, output3, X, 1239, 1, PULL1)," & --  PAD105
	"1241 (BC_2, IO_PAD105, input, X)," & --  PAD105
	"1242 (BC_2, *, controlr, 1)," &
	"1243 (BC_2, IO_PAD104, output3, X, 1242, 1, PULL1)," & --  PAD104
	"1244 (BC_2, IO_PAD104, input, X)," & --  PAD104
	"1245 (BC_2, *, controlr, 1)," &
	"1246 (BC_2, IO_PAD103, output3, X, 1245, 1, PULL1)," & --  PAD103
	"1247 (BC_2, IO_PAD103, input, X)," & --  PAD103
	"1248 (BC_2, *, controlr, 1)," &
	"1249 (BC_2, IO_PAD102, output3, X, 1248, 1, PULL1)," & --  PAD102
	"1250 (BC_2, IO_PAD102, input, X)," & --  PAD102
	"1251 (BC_2, *, controlr, 1)," &
	"1252 (BC_2, IO_PAD101, output3, X, 1251, 1, PULL1)," & --  PAD101
	"1253 (BC_2, IO_PAD101, input, X)," & --  PAD101
	"1254 (BC_2, *, controlr, 1)," &
	"1255 (BC_2, IO_PAD100, output3, X, 1254, 1, PULL1)," & --  PAD100
	"1256 (BC_2, IO_PAD100, input, X)," & --  PAD100
	"1257 (BC_2, *, controlr, 1)," &
	"1258 (BC_2, IO_PAD99, output3, X, 1257, 1, PULL1)," & --  PAD99
	"1259 (BC_2, IO_PAD99, input, X)," & --  PAD99
	"1260 (BC_2, *, controlr, 1)," &
	"1261 (BC_2, IO_PAD98, output3, X, 1260, 1, PULL1)," & --  PAD98
	"1262 (BC_2, IO_PAD98, input, X)," & --  PAD98
	"1263 (BC_2, *, controlr, 1)," &
	"1264 (BC_2, IO_PAD97, output3, X, 1263, 1, PULL1)," & --  PAD97
	"1265 (BC_2, IO_PAD97, input, X)," & --  PAD97
	"1266 (BC_2, *, controlr, 1)," &
	"1267 (BC_2, IO_PAD96, output3, X, 1266, 1, PULL1)," & --  PAD96
	"1268 (BC_2, IO_PAD96, input, X)," & --  PAD96
	"1269 (BC_2, *, controlr, 1)," &
	"1270 (BC_2, IO_PAD95, output3, X, 1269, 1, PULL1)," & --  PAD95
	"1271 (BC_2, IO_PAD95, input, X)," & --  PAD95
	"1272 (BC_2, *, controlr, 1)," &
	"1273 (BC_2, IO_PAD94, output3, X, 1272, 1, PULL1)," & --  PAD94
	"1274 (BC_2, IO_PAD94, input, X)," & --  PAD94
	"1275 (BC_2, *, controlr, 1)," &
	"1276 (BC_2, IO_PAD93, output3, X, 1275, 1, PULL1)," & --  PAD93
	"1277 (BC_2, IO_PAD93, input, X)," & --  PAD93
	"1278 (BC_2, *, controlr, 1)," &
	"1279 (BC_2, IO_PAD92, output3, X, 1278, 1, PULL1)," & --  PAD92
	"1280 (BC_2, IO_PAD92, input, X)," & --  PAD92
	"1281 (BC_2, *, controlr, 1)," &
	"1282 (BC_2, IO_PAD91, output3, X, 1281, 1, PULL1)," & --  PAD91
	"1283 (BC_2, IO_PAD91, input, X)," & --  PAD91
	"1284 (BC_2, *, controlr, 1)," &
	"1285 (BC_2, IO_PAD90, output3, X, 1284, 1, PULL1)," & --  PAD90
	"1286 (BC_2, IO_PAD90, input, X)," & --  PAD90
	"1287 (BC_2, *, controlr, 1)," &
	"1288 (BC_2, IO_PAD89, output3, X, 1287, 1, PULL1)," & --  PAD89
	"1289 (BC_2, IO_PAD89, input, X)," & --  PAD89
	"1290 (BC_2, *, controlr, 1)," &
	"1291 (BC_2, IO_PAD88, output3, X, 1290, 1, PULL1)," & --  PAD88
	"1292 (BC_2, IO_PAD88, input, X)," & --  PAD88
	"1293 (BC_2, *, controlr, 1)," &
	"1294 (BC_2, IO_PAD87, output3, X, 1293, 1, PULL1)," & --  PAD87
	"1295 (BC_2, IO_PAD87, input, X)," & --  PAD87
	"1296 (BC_2, *, controlr, 1)," &
	"1297 (BC_2, IO_PAD86, output3, X, 1296, 1, PULL1)," & --  PAD86
	"1298 (BC_2, IO_PAD86, input, X)," & --  PAD86
	"1299 (BC_2, *, controlr, 1)," &
	"1300 (BC_2, IO_PAD85, output3, X, 1299, 1, PULL1)," & --  PAD85
	"1301 (BC_2, IO_PAD85, input, X)," & --  PAD85
	"1302 (BC_2, *, controlr, 1)," &
	"1303 (BC_2, IO_PAD84, output3, X, 1302, 1, PULL1)," & --  PAD84
	"1304 (BC_2, IO_PAD84, input, X)," & --  PAD84
	"1305 (BC_2, *, controlr, 1)," &
	"1306 (BC_2, IO_PAD83, output3, X, 1305, 1, PULL1)," & --  PAD83
	"1307 (BC_2, IO_PAD83, input, X)," & --  PAD83
	"1308 (BC_2, *, controlr, 1)," &
	"1309 (BC_2, IO_PAD82, output3, X, 1308, 1, PULL1)," & --  PAD82
	"1310 (BC_2, IO_PAD82, input, X)," & --  PAD82
	"1311 (BC_2, *, controlr, 1)," &
	"1312 (BC_2, IO_PAD81, output3, X, 1311, 1, PULL1)," & --  PAD81
	"1313 (BC_2, IO_PAD81, input, X)," & --  PAD81
	"1314 (BC_2, *, controlr, 1)," &
	"1315 (BC_2, IO_PAD80, output3, X, 1314, 1, PULL1)," & --  PAD80
	"1316 (BC_2, IO_PAD80, input, X)," & --  PAD80
	"1317 (BC_2, *, controlr, 1)," &
	"1318 (BC_2, IO_PAD79, output3, X, 1317, 1, PULL1)," & --  PAD79
	"1319 (BC_2, IO_PAD79, input, X)," & --  PAD79
	"1320 (BC_2, *, controlr, 1)," &
	"1321 (BC_2, IO_PAD78, output3, X, 1320, 1, PULL1)," & --  PAD78
	"1322 (BC_2, IO_PAD78, input, X)," & --  PAD78
	"1323 (BC_2, *, controlr, 1)," &
	"1324 (BC_2, IO_PAD77, output3, X, 1323, 1, PULL1)," & --  PAD77
	"1325 (BC_2, IO_PAD77, input, X)," & --  PAD77
	"1326 (BC_2, *, controlr, 1)," &
	"1327 (BC_2, IO_PAD76, output3, X, 1326, 1, PULL1)," & --  PAD76
	"1328 (BC_2, IO_PAD76, input, X)," & --  PAD76
	"1329 (BC_2, *, controlr, 1)," &
	"1330 (BC_2, IO_PAD75, output3, X, 1329, 1, PULL1)," & --  PAD75
	"1331 (BC_2, IO_PAD75, input, X)," & --  PAD75
	"1332 (BC_2, *, controlr, 1)," &
	"1333 (BC_2, IO_PAD74, output3, X, 1332, 1, PULL1)," & --  PAD74
	"1334 (BC_2, IO_PAD74, input, X)," & --  PAD74
	"1335 (BC_2, *, controlr, 1)," &
	"1336 (BC_2, IO_PAD73, output3, X, 1335, 1, PULL1)," & --  PAD73
	"1337 (BC_2, IO_PAD73, input, X)," & --  PAD73
	"1338 (BC_2, *, controlr, 1)," &
	"1339 (BC_2, IO_PAD72, output3, X, 1338, 1, PULL1)," & --  PAD72
	"1340 (BC_2, IO_PAD72, input, X)," & --  PAD72
	"1341 (BC_2, *, controlr, 1)," &
	"1342 (BC_2, IO_PAD71, output3, X, 1341, 1, PULL1)," & --  PAD71
	"1343 (BC_2, IO_PAD71, input, X)," & --  PAD71
	"1344 (BC_2, *, controlr, 1)," &
	"1345 (BC_2, IO_PAD70, output3, X, 1344, 1, PULL1)," & --  PAD70
	"1346 (BC_2, IO_PAD70, input, X)," & --  PAD70
	"1347 (BC_2, *, controlr, 1)," &
	"1348 (BC_2, IO_PAD69, output3, X, 1347, 1, PULL1)," & --  PAD69
	"1349 (BC_2, IO_PAD69, input, X)," & --  PAD69
	"1350 (BC_2, *, controlr, 1)," &
	"1351 (BC_2, IO_PAD68, output3, X, 1350, 1, PULL1)," & --  PAD68
	"1352 (BC_2, IO_PAD68, input, X)," & --  PAD68
	"1353 (BC_2, *, controlr, 1)," &
	"1354 (BC_2, IO_PAD67, output3, X, 1353, 1, PULL1)," & --  PAD67
	"1355 (BC_2, IO_PAD67, input, X)," & --  PAD67
	"1356 (BC_2, *, controlr, 1)," &
	"1357 (BC_2, IO_PAD66, output3, X, 1356, 1, PULL1)," & --  PAD66
	"1358 (BC_2, IO_PAD66, input, X)," & --  PAD66
	"1359 (BC_2, *, controlr, 1)," &
	"1360 (BC_2, IO_PAD65, output3, X, 1359, 1, PULL1)," & --  PAD65
	"1361 (BC_2, IO_PAD65, input, X)," & --  PAD65
	"1362 (BC_2, *, controlr, 1)," &
	"1363 (BC_2, IO_PAD64, output3, X, 1362, 1, PULL1)," & --  PAD64
	"1364 (BC_2, IO_PAD64, input, X)," & --  PAD64
	"1365 (BC_2, *, controlr, 1)," &
	"1366 (BC_2, IO_PAD63, output3, X, 1365, 1, PULL1)," & --  PAD63
	"1367 (BC_2, IO_PAD63, input, X)," & --  PAD63
	"1368 (BC_2, *, controlr, 1)," &
	"1369 (BC_2, IO_PAD62, output3, X, 1368, 1, PULL1)," & --  PAD62
	"1370 (BC_2, IO_PAD62, input, X)," & --  PAD62
	"1371 (BC_2, *, controlr, 1)," &
	"1372 (BC_2, IO_PAD61, output3, X, 1371, 1, PULL1)," & --  PAD61
	"1373 (BC_2, IO_PAD61, input, X)," & --  PAD61
	"1374 (BC_2, *, controlr, 1)," &
	"1375 (BC_2, IO_PAD60, output3, X, 1374, 1, PULL1)," & --  PAD60
	"1376 (BC_2, IO_PAD60, input, X)," & --  PAD60
	"1377 (BC_2, *, controlr, 1)," &
	"1378 (BC_2, IO_PAD59, output3, X, 1377, 1, PULL1)," & --  PAD59
	"1379 (BC_2, IO_PAD59, input, X)," & --  PAD59
	"1380 (BC_2, *, controlr, 1)," &
	"1381 (BC_2, IO_PAD58, output3, X, 1380, 1, PULL1)," & --  PAD58
	"1382 (BC_2, IO_PAD58, input, X)," & --  PAD58
	"1383 (BC_2, *, controlr, 1)," &
	"1384 (BC_2, IO_PAD57, output3, X, 1383, 1, PULL1)," & --  PAD57
	"1385 (BC_2, IO_PAD57, input, X)," & --  PAD57
	"1386 (BC_2, *, controlr, 1)," &
	"1387 (BC_2, IO_PAD56, output3, X, 1386, 1, PULL1)," & --  PAD56
	"1388 (BC_2, IO_PAD56, input, X)," & --  PAD56
	"1389 (BC_2, *, controlr, 1)," &
	"1390 (BC_2, IO_PAD55, output3, X, 1389, 1, PULL1)," & --  PAD55
	"1391 (BC_2, IO_PAD55, input, X)," & --  PAD55
	"1392 (BC_2, *, controlr, 1)," &
	"1393 (BC_2, IO_PAD54, output3, X, 1392, 1, PULL1)," & --  PAD54
	"1394 (BC_2, IO_PAD54, input, X)," & --  PAD54
	"1395 (BC_2, *, controlr, 1)," &
	"1396 (BC_2, IO_PAD53, output3, X, 1395, 1, PULL1)," & --  PAD53
	"1397 (BC_2, IO_PAD53, input, X)," & --  PAD53
	"1398 (BC_2, *, controlr, 1)," &
	"1399 (BC_2, IO_PAD52, output3, X, 1398, 1, PULL1)," & --  PAD52
	"1400 (BC_2, IO_PAD52, input, X)," & --  PAD52
	"1401 (BC_2, *, controlr, 1)," &
	"1402 (BC_2, IO_PAD51, output3, X, 1401, 1, PULL1)," & --  PAD51
	"1403 (BC_2, IO_PAD51, input, X)," & --  PAD51
	"1404 (BC_2, *, controlr, 1)," &
	"1405 (BC_2, IO_PAD50, output3, X, 1404, 1, PULL1)," & --  PAD50
	"1406 (BC_2, IO_PAD50, input, X)," & --  PAD50
	"1407 (BC_2, *, controlr, 1)," &
	"1408 (BC_2, IO_PAD49, output3, X, 1407, 1, PULL1)," & --  PAD49
	"1409 (BC_2, IO_PAD49, input, X)," & --  PAD49
	"1410 (BC_2, *, controlr, 1)," &
	"1411 (BC_2, IO_PAD48, output3, X, 1410, 1, PULL1)," & --  PAD48
	"1412 (BC_2, IO_PAD48, input, X)," & --  PAD48
	"1413 (BC_2, *, controlr, 1)," &
	"1414 (BC_2, IO_PAD47, output3, X, 1413, 1, PULL1)," & --  PAD47
	"1415 (BC_2, IO_PAD47, input, X)," & --  PAD47
	"1416 (BC_2, *, controlr, 1)," &
	"1417 (BC_2, IO_PAD46, output3, X, 1416, 1, PULL1)," & --  PAD46
	"1418 (BC_2, IO_PAD46, input, X)," & --  PAD46
	"1419 (BC_2, *, controlr, 1)," &
	"1420 (BC_2, IO_PAD45, output3, X, 1419, 1, PULL1)," & --  PAD45
	"1421 (BC_2, IO_PAD45, input, X)," & --  PAD45
	"1422 (BC_2, *, controlr, 1)," &
	"1423 (BC_2, IO_PAD44, output3, X, 1422, 1, PULL1)," & --  PAD44
	"1424 (BC_2, IO_PAD44, input, X)," & --  PAD44
	"1425 (BC_2, *, controlr, 1)," &
	"1426 (BC_2, IO_PAD43, output3, X, 1425, 1, PULL1)," & --  PAD43
	"1427 (BC_2, IO_PAD43, input, X)," & --  PAD43
	"1428 (BC_2, *, controlr, 1)," &
	"1429 (BC_2, IO_PAD42, output3, X, 1428, 1, PULL1)," & --  PAD42
	"1430 (BC_2, IO_PAD42, input, X)," & --  PAD42
	"1431 (BC_2, *, controlr, 1)," &
	"1432 (BC_2, IO_PAD41, output3, X, 1431, 1, PULL1)," & --  PAD41
	"1433 (BC_2, IO_PAD41, input, X)," & --  PAD41
	"1434 (BC_2, *, controlr, 1)," &
	"1435 (BC_2, IO_PAD40, output3, X, 1434, 1, PULL1)," & --  PAD40
	"1436 (BC_2, IO_PAD40, input, X)," & --  PAD40
	"1437 (BC_2, *, controlr, 1)," &
	"1438 (BC_2, IO_PAD39, output3, X, 1437, 1, PULL1)," & --  PAD39
	"1439 (BC_2, IO_PAD39, input, X)," & --  PAD39
	"1440 (BC_2, *, controlr, 1)," &
	"1441 (BC_2, IO_PAD38, output3, X, 1440, 1, PULL1)," & --  PAD38
	"1442 (BC_2, IO_PAD38, input, X)," & --  PAD38
	"1443 (BC_2, *, controlr, 1)," &
	"1444 (BC_2, IO_PAD37, output3, X, 1443, 1, PULL1)," & --  PAD37
	"1445 (BC_2, IO_PAD37, input, X)," & --  PAD37
	"1446 (BC_2, *, controlr, 1)," &
	"1447 (BC_2, IO_PAD36, output3, X, 1446, 1, PULL1)," & --  PAD36
	"1448 (BC_2, IO_PAD36, input, X)," & --  PAD36
	"1449 (BC_2, *, controlr, 1)," &
	"1450 (BC_2, IO_PAD35, output3, X, 1449, 1, PULL1)," & --  PAD35
	"1451 (BC_2, IO_PAD35, input, X)," & --  PAD35
	"1452 (BC_2, *, controlr, 1)," &
	"1453 (BC_2, IO_PAD34, output3, X, 1452, 1, PULL1)," & --  PAD34
	"1454 (BC_2, IO_PAD34, input, X)," & --  PAD34
	"1455 (BC_2, *, controlr, 1)," &
	"1456 (BC_2, IO_PAD33, output3, X, 1455, 1, PULL1)," & --  PAD33
	"1457 (BC_2, IO_PAD33, input, X)," & --  PAD33
	"1458 (BC_2, *, controlr, 1)," &
	"1459 (BC_2, IO_PAD32, output3, X, 1458, 1, PULL1)," & --  PAD32
	"1460 (BC_2, IO_PAD32, input, X)," & --  PAD32
	"1461 (BC_2, *, controlr, 1)," &
	"1462 (BC_2, IO_PAD31, output3, X, 1461, 1, PULL1)," & --  PAD31
	"1463 (BC_2, IO_PAD31, input, X)," & --  PAD31
	"1464 (BC_2, *, controlr, 1)," &
	"1465 (BC_2, IO_PAD30, output3, X, 1464, 1, PULL1)," & --  PAD30
	"1466 (BC_2, IO_PAD30, input, X)," & --  PAD30
	"1467 (BC_2, *, controlr, 1)," &
	"1468 (BC_2, IO_PAD29, output3, X, 1467, 1, PULL1)," & --  PAD29
	"1469 (BC_2, IO_PAD29, input, X)," & --  PAD29
	"1470 (BC_2, *, controlr, 1)," &
	"1471 (BC_2, IO_PAD28, output3, X, 1470, 1, PULL1)," & --  PAD28
	"1472 (BC_2, IO_PAD28, input, X)," & --  PAD28
	"1473 (BC_2, *, controlr, 1)," &
	"1474 (BC_2, IO_PAD27, output3, X, 1473, 1, PULL1)," & --  PAD27
	"1475 (BC_2, IO_PAD27, input, X)," & --  PAD27
	"1476 (BC_2, *, controlr, 1)," &
	"1477 (BC_2, IO_PAD26, output3, X, 1476, 1, PULL1)," & --  PAD26
	"1478 (BC_2, IO_PAD26, input, X)," & --  PAD26
	"1479 (BC_2, *, controlr, 1)," &
	"1480 (BC_2, IO_PAD25, output3, X, 1479, 1, PULL1)," & --  PAD25
	"1481 (BC_2, IO_PAD25, input, X)," & --  PAD25
	"1482 (BC_2, *, controlr, 1)," &
	"1483 (BC_2, IO_PAD24, output3, X, 1482, 1, PULL1)," & --  PAD24
	"1484 (BC_2, IO_PAD24, input, X)," & --  PAD24
	"1485 (BC_2, *, controlr, 1)," &
	"1486 (BC_2, IO_PAD23, output3, X, 1485, 1, PULL1)," & --  PAD23
	"1487 (BC_2, IO_PAD23, input, X)," & --  PAD23
	"1488 (BC_2, *, controlr, 1)," &
	"1489 (BC_2, IO_PAD22, output3, X, 1488, 1, PULL1)," & --  PAD22
	"1490 (BC_2, IO_PAD22, input, X)," & --  PAD22
	"1491 (BC_2, *, controlr, 1)," &
	"1492 (BC_2, IO_PAD21, output3, X, 1491, 1, PULL1)," & --  PAD21
	"1493 (BC_2, IO_PAD21, input, X)," & --  PAD21
	"1494 (BC_2, *, controlr, 1)," &
	"1495 (BC_2, IO_PAD20, output3, X, 1494, 1, PULL1)," & --  PAD20
	"1496 (BC_2, IO_PAD20, input, X)," & --  PAD20
	"1497 (BC_2, *, controlr, 1)," &
	"1498 (BC_2, IO_PAD19, output3, X, 1497, 1, PULL1)," & --  PAD19
	"1499 (BC_2, IO_PAD19, input, X)," & --  PAD19
	"1500 (BC_2, *, controlr, 1)," &
	"1501 (BC_2, IO_PAD18, output3, X, 1500, 1, PULL1)," & --  PAD18
	"1502 (BC_2, IO_PAD18, input, X)," & --  PAD18
	"1503 (BC_2, *, controlr, 1)," &
	"1504 (BC_2, IO_PAD17, output3, X, 1503, 1, PULL1)," & --  PAD17
	"1505 (BC_2, IO_PAD17, input, X)," & --  PAD17
	"1506 (BC_2, *, controlr, 1)," &
	"1507 (BC_2, IO_PAD16, output3, X, 1506, 1, PULL1)," & --  PAD16
	"1508 (BC_2, IO_PAD16, input, X)," & --  PAD16
	"1509 (BC_2, *, controlr, 1)," &
	"1510 (BC_2, IO_PAD15, output3, X, 1509, 1, PULL1)," & --  PAD15
	"1511 (BC_2, IO_PAD15, input, X)," & --  PAD15
	"1512 (BC_2, *, controlr, 1)," &
	"1513 (BC_2, IO_PAD14, output3, X, 1512, 1, PULL1)," & --  PAD14
	"1514 (BC_2, IO_PAD14, input, X)," & --  PAD14
	"1515 (BC_2, *, controlr, 1)," &
	"1516 (BC_2, IO_PAD13, output3, X, 1515, 1, PULL1)," & --  PAD13
	"1517 (BC_2, IO_PAD13, input, X)," & --  PAD13
	"1518 (BC_2, *, controlr, 1)," &
	"1519 (BC_2, IO_PAD12, output3, X, 1518, 1, PULL1)," & --  PAD12
	"1520 (BC_2, IO_PAD12, input, X)," & --  PAD12
	"1521 (BC_2, *, controlr, 1)," &
	"1522 (BC_2, IO_PAD11, output3, X, 1521, 1, PULL1)," & --  PAD11
	"1523 (BC_2, IO_PAD11, input, X)," & --  PAD11
	"1524 (BC_2, *, controlr, 1)," &
	"1525 (BC_2, IO_PAD10, output3, X, 1524, 1, PULL1)," & --  PAD10
	"1526 (BC_2, IO_PAD10, input, X)," & --  PAD10
	"1527 (BC_2, *, controlr, 1)," &
	"1528 (BC_2, IO_PAD9, output3, X, 1527, 1, PULL1)," & --  PAD9
	"1529 (BC_2, IO_PAD9, input, X)," & --  PAD9
	"1530 (BC_2, *, controlr, 1)," &
	"1531 (BC_2, IO_PAD8, output3, X, 1530, 1, PULL1)," & --  PAD8
	"1532 (BC_2, IO_PAD8, input, X)," & --  PAD8
	"1533 (BC_2, *, controlr, 1)," &
	"1534 (BC_2, IO_PAD7, output3, X, 1533, 1, PULL1)," & --  PAD7
	"1535 (BC_2, IO_PAD7, input, X)," & --  PAD7
	"1536 (BC_2, *, controlr, 1)," &
	"1537 (BC_2, IO_PAD6, output3, X, 1536, 1, PULL1)," & --  PAD6
	"1538 (BC_2, IO_PAD6, input, X)," & --  PAD6
	"1539 (BC_2, *, controlr, 1)," &
	"1540 (BC_2, IO_PAD5, output3, X, 1539, 1, PULL1)," & --  PAD5
	"1541 (BC_2, IO_PAD5, input, X)," & --  PAD5
	"1542 (BC_2, *, controlr, 1)," &
	"1543 (BC_2, IO_PAD4, output3, X, 1542, 1, PULL1)," & --  PAD4
	"1544 (BC_2, IO_PAD4, input, X)," & --  PAD4
	"1545 (BC_2, *, controlr, 1)," &
	"1546 (BC_2, IO_PAD3, output3, X, 1545, 1, PULL1)," & --  PAD3
	"1547 (BC_2, IO_PAD3, input, X)," & --  PAD3
	"1548 (BC_2, *, controlr, 1)," &
	"1549 (BC_2, IO_PAD2, output3, X, 1548, 1, PULL1)," & --  PAD2
	"1550 (BC_2, IO_PAD2, input, X)," & --  PAD2
	"1551 (BC_2, *, controlr, 1)," &
	"1552 (BC_2, IO_PAD1, output3, X, 1551, 1, PULL1)," & --  PAD1
	"1553 (BC_2, IO_PAD1, input, X)," & --  PAD1
	"1554 (BC_2, *, internal, X)," &
	"1555 (BC_2, *, internal, X)," &
	"1556 (BC_2, *, internal, X)," &
	"1557 (BC_2, *, internal, X)," &
	"1558 (BC_2, *, internal, X)," &
	"1559 (BC_2, *, internal, X)," &
	"1560 (BC_2, *, internal, X)," &
	"1561 (BC_4, MGTRXN0_103, OBSERVE_ONLY, X)," &
	"1562 (BC_4, MGTRXP0_103, OBSERVE_ONLY, X)," &
	"1563 (AC_2, MGTTXP0_103, OUTPUT2, X)," &
	"1564 (BC_4, MGTRXN1_103, OBSERVE_ONLY, X)," &
	"1565 (BC_4, MGTRXP1_103, OBSERVE_ONLY, X)," &
	"1566 (AC_2, MGTTXP1_103, OUTPUT2, X)," &
	"1567 (BC_4, MGTRXN2_103, OBSERVE_ONLY, X)," &
	"1568 (BC_4, MGTRXP2_103, OBSERVE_ONLY, X)," &
	"1569 (AC_2, MGTTXP2_103, OUTPUT2, X)," &
	"1570 (BC_4, MGTRXN3_103, OBSERVE_ONLY, X)," &
	"1571 (BC_4, MGTRXP3_103, OBSERVE_ONLY, X)," &
	"1572 (AC_2, MGTTXP3_103, OUTPUT2, X)," &
	"1573 (BC_4, MGTRXN0_104, OBSERVE_ONLY, X)," &
	"1574 (BC_4, MGTRXP0_104, OBSERVE_ONLY, X)," &
	"1575 (AC_2, MGTTXP0_104, OUTPUT2, X)," &
	"1576 (BC_4, MGTRXN1_104, OBSERVE_ONLY, X)," &
	"1577 (BC_4, MGTRXP1_104, OBSERVE_ONLY, X)," &
	"1578 (AC_2, MGTTXP1_104, OUTPUT2, X)," &
	"1579 (BC_4, MGTRXN2_104, OBSERVE_ONLY, X)," &
	"1580 (BC_4, MGTRXP2_104, OBSERVE_ONLY, X)," &
	"1581 (AC_2, MGTTXP2_104, OUTPUT2, X)," &
	"1582 (BC_4, MGTRXN3_104, OBSERVE_ONLY, X)," &
	"1583 (BC_4, MGTRXP3_104, OBSERVE_ONLY, X)," &
	"1584 (AC_2, MGTTXP3_104, OUTPUT2, X)," &
	"1585 (BC_4, MGTRXN0_105, OBSERVE_ONLY, X)," &
	"1586 (BC_4, MGTRXP0_105, OBSERVE_ONLY, X)," &
	"1587 (AC_2, MGTTXP0_105, OUTPUT2, X)," &
	"1588 (BC_4, MGTRXN1_105, OBSERVE_ONLY, X)," &
	"1589 (BC_4, MGTRXP1_105, OBSERVE_ONLY, X)," &
	"1590 (AC_2, MGTTXP1_105, OUTPUT2, X)," &
	"1591 (BC_4, MGTRXN2_105, OBSERVE_ONLY, X)," &
	"1592 (BC_4, MGTRXP2_105, OBSERVE_ONLY, X)," &
	"1593 (AC_2, MGTTXP2_105, OUTPUT2, X)," &
	"1594 (BC_4, MGTRXN3_105, OBSERVE_ONLY, X)," &
	"1595 (BC_4, MGTRXP3_105, OBSERVE_ONLY, X)," &
	"1596 (AC_2, MGTTXP3_105, OUTPUT2, X)," &
	"1597 (BC_4, MGTRXN2_106, OBSERVE_ONLY, 1)," &
	"1598 (BC_4, MGTRXP2_106, OBSERVE_ONLY, 1)," &
	"1599 (BC_4, MGTRXN3_106, OBSERVE_ONLY, 1)," &
	"1600 (BC_4, MGTRXP3_106, OBSERVE_ONLY, 1)," &
	"1601 (AC_1, MGTTXP2_106, OUTPUT2, 1)," &
	"1602 (AC_1, MGTTXP3_106, OUTPUT2, 1)," &
	"1603 (BC_4, MGTRXN0_106, OBSERVE_ONLY, 1)," &
	"1604 (BC_4, MGTRXP0_106, OBSERVE_ONLY, 1)," &
	"1605 (BC_4, MGTRXN1_106, OBSERVE_ONLY, 1)," &
	"1606 (BC_4, MGTRXP1_106, OBSERVE_ONLY, 1)," &
	"1607 (AC_1, MGTTXP0_106, OUTPUT2, 1)," &
	"1608 (AC_1, MGTTXP1_106, OUTPUT2, 1)," &
	"1609 (BC_4, MGTRXN2_107, OBSERVE_ONLY, X)," &
	"1610 (BC_4, MGTRXP2_107, OBSERVE_ONLY, X)," &
	"1611 (BC_4, MGTRXN3_107, OBSERVE_ONLY, X)," &
	"1612 (BC_4, MGTRXP3_107, OBSERVE_ONLY, X)," &
	"1613 (AC_1, MGTTXP2_107, OUTPUT2, X)," &
	"1614 (AC_1, MGTTXP3_107, OUTPUT2, X)," &
	"1615 (BC_4, MGTRXN0_107, OBSERVE_ONLY, X)," &
	"1616 (BC_4, MGTRXP0_107, OBSERVE_ONLY, X)," &
	"1617 (BC_4, MGTRXN1_107, OBSERVE_ONLY, X)," &
	"1618 (BC_4, MGTRXP1_107, OBSERVE_ONLY, X)," &
	"1619 (AC_1, MGTTXP0_107, OUTPUT2, X)," &
	"1620 (AC_1, MGTTXP1_107, OUTPUT2, X)," &
	"1621 (BC_4, MGTRXN2_108, OBSERVE_ONLY, X)," &
	"1622 (BC_4, MGTRXP2_108, OBSERVE_ONLY, X)," &
	"1623 (BC_4, MGTRXN3_108, OBSERVE_ONLY, X)," &
	"1624 (BC_4, MGTRXP3_108, OBSERVE_ONLY, X)," &
	"1625 (AC_1, MGTTXP2_108, OUTPUT2, X)," &
	"1626 (AC_1, MGTTXP3_108, OUTPUT2, X)," &
	"1627 (BC_4, MGTRXN0_108, OBSERVE_ONLY, X)," &
	"1628 (BC_4, MGTRXP0_108, OBSERVE_ONLY, X)," &
	"1629 (BC_4, MGTRXN1_108, OBSERVE_ONLY, X)," &
	"1630 (BC_4, MGTRXP1_108, OBSERVE_ONLY, X)," &
	"1631 (AC_1, MGTTXP0_108, OUTPUT2, X)," &
	"1632 (AC_1, MGTTXP1_108, OUTPUT2, X)";


-- Advanced I/O Description

attribute AIO_COMPONENT_CONFORMANCE of XC6VHX255T : entity is
	"STD_1149_6_2003";

attribute AIO_EXTEST_Pulse_Execution of XC6VHX255T : entity is
	"Wait_Duration TCK 15";

attribute AIO_EXTEST_Train_Execution of XC6VHX255T : entity is
	"train 30, maximum_time 120.0e-6";

attribute AIO_Pin_Behavior of XC6VHX255T : entity is
"MGTRXP0_103 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_104 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_105 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_106 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_107 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_108 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_113 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_116 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_117 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_118 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_103 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_104 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_105 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_106 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_107 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_108 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_113 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_116 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_117 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_118 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_103 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_104 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_105 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_106 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_107 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_108 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_113 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_116 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_117 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_118 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_103 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_104 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_105 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_106 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_107 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_108 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_113 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_116 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_117 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_118 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTTXP0_103; " &
"MGTTXP0_104; " &
"MGTTXP0_105; " &
"MGTTXP0_106; " &
"MGTTXP0_107; " &
"MGTTXP0_108; " &
"MGTTXP0_113; " &
"MGTTXP0_114; " &
"MGTTXP0_115; " &
"MGTTXP0_116; " &
"MGTTXP0_117; " &
"MGTTXP0_118; " &
"MGTTXP1_103; " &
"MGTTXP1_104; " &
"MGTTXP1_105; " &
"MGTTXP1_106; " &
"MGTTXP1_107; " &
"MGTTXP1_108; " &
"MGTTXP1_113; " &
"MGTTXP1_114; " &
"MGTTXP1_115; " &
"MGTTXP1_116; " &
"MGTTXP1_117; " &
"MGTTXP1_118; " &
"MGTTXP2_103; " &
"MGTTXP2_104; " &
"MGTTXP2_105; " &
"MGTTXP2_106; " &
"MGTTXP2_107; " &
"MGTTXP2_108; " &
"MGTTXP2_113; " &
"MGTTXP2_114; " &
"MGTTXP2_115; " &
"MGTTXP2_116; " &
"MGTTXP2_117; " &
"MGTTXP2_118; " &
"MGTTXP3_103; " &
"MGTTXP3_104; " &
"MGTTXP3_105; " &
"MGTTXP3_106; " &
"MGTTXP3_107; " &
"MGTTXP3_108; " &
"MGTTXP3_113; " &
"MGTTXP3_114; " &
"MGTTXP3_115; " &
"MGTTXP3_116; " &
"MGTTXP3_117; " &
"MGTTXP3_118 ";

-- Design Warning Section

attribute DESIGN_WARNING of XC6VHX255T : entity is
        "This is a preliminary BSDL file which has not been verified." &
	"When no bitstream is loaded and GTPs are not instantiated," &
		"the boundary-scan cells associated with GTPs will not" &
		"capture correct state information.  To model the boundary-" &
		"scan cell behavior correctly post-configuration, use" &
		"BSDLanno to modify the BSDL file." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "To avoid losing the current configuration, the boundary scan" &
                "test vectors should keep the PROGRAM_B pin" &
                "high.  If the PROGRAM_B pin goes low by any means," &
                "the configuration will be cleared." &
        "PROGRAM_B can only be captured, not updated." &
                "The value at the pin is always used by the device." &
        "The disable result of a 3-stated I/O in this file" &
		"corresponds to HSWAPEN being low." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
	"Differential Serial IO pins do not support INTEST." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control value is not captured properly when" &
                "GTS is activated." &
	"The IEEE Std 1149.6 EXTEST_PULSE and EXTEST_TRAIN instructions" &
		"require a minimum TCK freq of 15 MHz and min temp of 0C." &
	"NOCONNECT pins should not be connected to any supply" &
		"or GND.  They should be left floating.";

end XC6VHX255T;