BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XQR4VFX60

--$ XILINX$RCSfile: xqr4vfx60.bsd,v $
--$ XILINX$Revision: 1.4 $
--
-- BSDL file for device XQR4VFX60, package DIE_BOND
-- Xilinx, Inc. $State: PRELIMINARY $ $Date: 2008-05-22 14:19:35-07 $
-- Generated by bsdlnet Version 1.13
-- Generated on Fri May 06, 2005  10:43:23 PDT
------------------------------------------------------------------------
-- | Generated on 08/14/07
-- | CR # 440168
-- | Details -  Changed ISC_SECURITY names and removed attribute;
-- |		1) Changed ISC_SECURITY_DATA to ISC_KEY_DATA
-- |		2) Changed ISC_PROGRAM_SECURITY to ISC_PROGRAM_KEY
-- |		3) Removed ISC_SECURITY attribute definition (6 lines)
------------------------------------------------------------------------
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- or contact our technical support at:
--
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	+44 870 7350 610	eurosupport@xilinx.com
--	France		(33) 1 3463 0100	eurosupport@xilinx.com
--	Germany		(49) 89 991 54930	eurosupport@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- To avoid power-down, the boundary scan test vectors should keep
-- the PWRDWN_B pin high.
--
-- To prevent losing the current configuration, the boundary scan
-- test vectors should keep the PROG_B pin high.
--
-- PROG_B and PWRDWN_B can be updated.  The boundary register cells marked
-- PROG_B and PWRDWN_B must be set to 1 for proper operation.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an LVTTL
-- input buffer. In order to properly capture a logic high value at one
-- of these IOBs into its input boundary scan cell, VCCO must be
-- at least 2V (Vih for LVTTL).
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- The disable result of a 3-stated I/O in this file correspond
-- to HSWAP_EN being high.  If HSWAP_EN is low, every PULL0 should
-- be changed to PULL1.

------------------------------------------------------------------------
-- | Generated on 01/17/08
-- | CR # 456709, 457564, 456386
-- | Details -  Added Design Warning for Shift-IR
-- |	     -	Changed from 64 bit shifts to 32 bit (ISC_PROGRAM), 1532 files.
------------------------------------------------------------------------
-- | Generated on 05/22/08
-- | CR # 473310
-- | Details - Changed last bit of ISC_DISABLE from 1 to 0.
-- |           This change undoes the change done 10/12/04.
------------------------------------------------------------------------
entity XQR4VFX60 is

generic (PHYSICAL_PIN_MAP : string := "DIE_BOND" );

port (
	AVCCAUXMGT_102: linkage bit;
	AVCCAUXMGT_103: linkage bit;
	AVCCAUXMGT_105: linkage bit;
	AVCCAUXMGT_106: linkage bit;
	AVCCAUXMGT_109: linkage bit;
	AVCCAUXMGT_110: linkage bit;
	AVCCAUXMGT_112: linkage bit;
	AVCCAUXMGT_113: linkage bit;
	AVCCAUXRXA_102: linkage bit;
	AVCCAUXRXA_103: linkage bit;
	AVCCAUXRXA_105: linkage bit;
	AVCCAUXRXA_106: linkage bit;
	AVCCAUXRXA_109: linkage bit;
	AVCCAUXRXA_110: linkage bit;
	AVCCAUXRXA_112: linkage bit;
	AVCCAUXRXA_113: linkage bit;
	AVCCAUXRXB_102: linkage bit;
	AVCCAUXRXB_103: linkage bit;
	AVCCAUXRXB_105: linkage bit;
	AVCCAUXRXB_106: linkage bit;
	AVCCAUXRXB_109: linkage bit;
	AVCCAUXRXB_110: linkage bit;
	AVCCAUXRXB_112: linkage bit;
	AVCCAUXRXB_113: linkage bit;
	AVCCAUXTX_102: linkage bit;
	AVCCAUXTX_103: linkage bit;
	AVCCAUXTX_105: linkage bit;
	AVCCAUXTX_106: linkage bit;
	AVCCAUXTX_109: linkage bit;
	AVCCAUXTX_110: linkage bit;
	AVCCAUXTX_112: linkage bit;
	AVCCAUXTX_113: linkage bit;
	AVDD_ADC: linkage bit;
	AVDD_SM: linkage bit;
	AVSS_ADC: linkage bit;
	AVSS_SM: linkage bit;
	CCLK_0: inout bit;
	CS_B_0: in bit;
	DONE_0: inout bit;
	DOUT_BUSY_0: out bit;
	D_IN_0: in bit;
	GNDA_102: linkage bit_vector (1 to 7);
	GNDA_103: linkage bit_vector (1 to 7);
	GNDA_105: linkage bit_vector (1 to 7);
	GNDA_106: linkage bit_vector (1 to 7);
	GNDA_109: linkage bit_vector (1 to 7);
	GNDA_110: linkage bit_vector (1 to 7);
	GNDA_112: linkage bit_vector (1 to 7);
	GNDA_113: linkage bit_vector (1 to 7);
	GND_DCM: linkage bit;
	GND_VBG: linkage bit;
	HSWAP_EN_0: in bit;
	INIT_0: inout bit;
	M0_0: in bit;
	M1_0: in bit;
	M2_0: in bit;
	MGTCLK_N_102: linkage bit;
	MGTCLK_N_103: linkage bit;
	MGTCLK_N_105: linkage bit;
	MGTCLK_N_106: linkage bit;
	MGTCLK_N_109: linkage bit;
	MGTCLK_N_110: linkage bit;
	MGTCLK_N_112: linkage bit;
	MGTCLK_N_113: linkage bit;
	MGTCLK_P_102: linkage bit;
	MGTCLK_P_103: linkage bit;
	MGTCLK_P_105: linkage bit;
	MGTCLK_P_106: linkage bit;
	MGTCLK_P_109: linkage bit;
	MGTCLK_P_110: linkage bit;
	MGTCLK_P_112: linkage bit;
	MGTCLK_P_113: linkage bit;
	MGTVREF_102: linkage bit;
	MGTVREF_103: linkage bit;
	MGTVREF_105: linkage bit;
	MGTVREF_106: linkage bit;
	MGTVREF_109: linkage bit;
	MGTVREF_110: linkage bit;
	MGTVREF_112: linkage bit;
	MGTVREF_113: linkage bit;
	PROG_B: in bit;
	PWRDWN_B: in bit;
	RDWR_B_0: in bit;
	RTERM_102: linkage bit;
	RTERM_103: linkage bit;
	RTERM_105: linkage bit;
	RTERM_106: linkage bit;
	RTERM_109: linkage bit;
	RTERM_110: linkage bit;
	RTERM_112: linkage bit;
	RTERM_113: linkage bit;
	RXNPADA_102: linkage bit;
	RXNPADA_103: linkage bit;
	RXNPADA_105: linkage bit;
	RXNPADA_106: linkage bit;
	RXNPADA_109: linkage bit;
	RXNPADA_110: linkage bit;
	RXNPADA_112: linkage bit;
	RXNPADA_113: linkage bit;
	RXNPADB_102: linkage bit;
	RXNPADB_103: linkage bit;
	RXNPADB_105: linkage bit;
	RXNPADB_106: linkage bit;
	RXNPADB_109: linkage bit;
	RXNPADB_110: linkage bit;
	RXNPADB_112: linkage bit;
	RXNPADB_113: linkage bit;
	RXPPADA_102: linkage bit;
	RXPPADA_103: linkage bit;
	RXPPADA_105: linkage bit;
	RXPPADA_106: linkage bit;
	RXPPADA_109: linkage bit;
	RXPPADA_110: linkage bit;
	RXPPADA_112: linkage bit;
	RXPPADA_113: linkage bit;
	RXPPADB_102: linkage bit;
	RXPPADB_103: linkage bit;
	RXPPADB_105: linkage bit;
	RXPPADB_106: linkage bit;
	RXPPADB_109: linkage bit;
	RXPPADB_110: linkage bit;
	RXPPADB_112: linkage bit;
	RXPPADB_113: linkage bit;
	TCK: in bit;
	TDI: in bit;
	TDN_0: linkage bit;
	TDO: out bit;
	TDP_0: linkage bit;
	TMS: in bit;
	TXNPADA_102: linkage bit;
	TXNPADA_103: linkage bit;
	TXNPADA_105: linkage bit;
	TXNPADA_106: linkage bit;
	TXNPADA_109: linkage bit;
	TXNPADA_110: linkage bit;
	TXNPADA_112: linkage bit;
	TXNPADA_113: linkage bit;
	TXNPADB_102: linkage bit;
	TXNPADB_103: linkage bit;
	TXNPADB_105: linkage bit;
	TXNPADB_106: linkage bit;
	TXNPADB_109: linkage bit;
	TXNPADB_110: linkage bit;
	TXNPADB_112: linkage bit;
	TXNPADB_113: linkage bit;
	TXPPADA_102: linkage bit;
	TXPPADA_103: linkage bit;
	TXPPADA_105: linkage bit;
	TXPPADA_106: linkage bit;
	TXPPADA_109: linkage bit;
	TXPPADA_110: linkage bit;
	TXPPADA_112: linkage bit;
	TXPPADA_113: linkage bit;
	TXPPADB_102: linkage bit;
	TXPPADB_103: linkage bit;
	TXPPADB_105: linkage bit;
	TXPPADB_106: linkage bit;
	TXPPADB_109: linkage bit;
	TXPPADB_110: linkage bit;
	TXPPADB_112: linkage bit;
	TXPPADB_113: linkage bit;
	VBATT_0: linkage bit;
	VCCAUX: linkage bit_vector (1 to 8);
	VCCINT: linkage bit;
	VCCO0: linkage bit;
	VCCO1: linkage bit;
	VCCO10: linkage bit;
	VCCO11: linkage bit;
	VCCO12: linkage bit;
	VCCO2: linkage bit;
	VCCO3: linkage bit;
	VCCO4: linkage bit;
	VCCO5: linkage bit;
	VCCO6: linkage bit;
	VCCO7: linkage bit;
	VCCO8: linkage bit;
	VCCO9: linkage bit;
	VN_ADC: linkage bit;
	VN_SM: linkage bit;
	VP_ADC: linkage bit;
	VP_SM: linkage bit;
	VREFN_ADC: linkage bit;
	VREFN_SM: linkage bit;
	VREFP_ADC: linkage bit;
	VREFP_SM: linkage bit;
	VTRXA_102: linkage bit;
	VTRXA_103: linkage bit;
	VTRXA_105: linkage bit;
	VTRXA_106: linkage bit;
	VTRXA_109: linkage bit;
	VTRXA_110: linkage bit;
	VTRXA_112: linkage bit;
	VTRXA_113: linkage bit;
	VTRXB_102: linkage bit;
	VTRXB_103: linkage bit;
	VTRXB_105: linkage bit;
	VTRXB_106: linkage bit;
	VTRXB_109: linkage bit;
	VTRXB_110: linkage bit;
	VTRXB_112: linkage bit;
	VTRXB_113: linkage bit;
	VTTXA_102: linkage bit;
	VTTXA_103: linkage bit;
	VTTXA_105: linkage bit;
	VTTXA_106: linkage bit;
	VTTXA_109: linkage bit;
	VTTXA_110: linkage bit;
	VTTXA_112: linkage bit;
	VTTXA_113: linkage bit;
	VTTXB_102: linkage bit;
	VTTXB_103: linkage bit;
	VTTXB_105: linkage bit;
	VTTXB_106: linkage bit;
	VTTXB_109: linkage bit;
	VTTXB_110: linkage bit;
	VTTXB_112: linkage bit;
	VTTXB_113: linkage bit;
	IO_PAD1: inout bit; --  PAD1
	IO_PAD2: inout bit; --  PAD2
	IO_PAD3: inout bit; --  PAD3
	IO_PAD4: inout bit; --  PAD4
	IO_PAD5: inout bit; --  PAD5
	IO_PAD6: inout bit; --  PAD6
	IO_PAD7: inout bit; --  PAD7
	IO_PAD8: inout bit; --  PAD8
	IO_PAD9: inout bit; --  PAD9
	IO_PAD10: inout bit; --  PAD10
	IO_PAD11: inout bit; --  PAD11
	IO_PAD12: inout bit; --  PAD12
	IO_PAD13: inout bit; --  PAD13
	IO_PAD14: inout bit; --  PAD14
	IO_PAD15: inout bit; --  PAD15
	IO_PAD16: inout bit; --  PAD16
	IO_PAD17: inout bit; --  PAD17
	IO_PAD18: inout bit; --  PAD18
	IO_PAD19: inout bit; --  PAD19
	IO_PAD20: inout bit; --  PAD20
	IO_PAD21: inout bit; --  PAD21
	IO_PAD22: inout bit; --  PAD22
	IO_PAD23: inout bit; --  PAD23
	IO_PAD24: inout bit; --  PAD24
	IO_PAD25: inout bit; --  PAD25
	IO_PAD26: inout bit; --  PAD26
	IO_PAD27: inout bit; --  PAD27
	IO_PAD28: inout bit; --  PAD28
	IO_PAD29: inout bit; --  PAD29
	IO_PAD30: inout bit; --  PAD30
	IO_PAD31: inout bit; --  PAD31
	IO_PAD32: inout bit; --  PAD32
	IO_PAD33: inout bit; --  PAD33
	IO_PAD34: inout bit; --  PAD34
	IO_PAD35: inout bit; --  PAD35
	IO_PAD36: inout bit; --  PAD36
	IO_PAD37: inout bit; --  PAD37
	IO_PAD38: inout bit; --  PAD38
	IO_PAD39: inout bit; --  PAD39
	IO_PAD40: inout bit; --  PAD40
	IO_PAD41: inout bit; --  PAD41
	IO_PAD42: inout bit; --  PAD42
	IO_PAD43: inout bit; --  PAD43
	IO_PAD44: inout bit; --  PAD44
	IO_PAD45: inout bit; --  PAD45
	IO_PAD46: inout bit; --  PAD46
	IO_PAD47: inout bit; --  PAD47
	IO_PAD48: inout bit; --  PAD48
	IO_PAD49: inout bit; --  PAD49
	IO_PAD50: inout bit; --  PAD50
	IO_PAD51: inout bit; --  PAD51
	IO_PAD52: inout bit; --  PAD52
	IO_PAD53: inout bit; --  PAD53
	IO_PAD54: inout bit; --  PAD54
	IO_PAD55: inout bit; --  PAD55
	IO_PAD56: inout bit; --  PAD56
	IO_PAD57: inout bit; --  PAD57
	IO_PAD58: inout bit; --  PAD58
	IO_PAD59: inout bit; --  PAD59
	IO_PAD60: inout bit; --  PAD60
	IO_PAD61: inout bit; --  PAD61
	IO_PAD62: inout bit; --  PAD62
	IO_PAD63: inout bit; --  PAD63
	IO_PAD64: inout bit; --  PAD64
	IO_PAD65: inout bit; --  PAD65
	IO_PAD66: inout bit; --  PAD66
	IO_PAD67: inout bit; --  PAD67
	IO_PAD68: inout bit; --  PAD68
	IO_PAD69: inout bit; --  PAD69
	IO_PAD70: inout bit; --  PAD70
	IO_PAD71: inout bit; --  PAD71
	IO_PAD72: inout bit; --  PAD72
	IO_PAD73: inout bit; --  PAD73
	IO_PAD74: inout bit; --  PAD74
	IO_PAD75: inout bit; --  PAD75
	IO_PAD76: inout bit; --  PAD76
	IO_PAD77: inout bit; --  PAD77
	IO_PAD78: inout bit; --  PAD78
	IO_PAD79: inout bit; --  PAD79
	IO_PAD80: inout bit; --  PAD80
	IO_PAD81: inout bit; --  PAD81
	IO_PAD82: inout bit; --  PAD82
	IO_PAD83: inout bit; --  PAD83
	IO_PAD84: inout bit; --  PAD84
	IO_PAD85: inout bit; --  PAD85
	IO_PAD86: inout bit; --  PAD86
	IO_PAD87: inout bit; --  PAD87
	IO_PAD88: inout bit; --  PAD88
	IO_PAD89: inout bit; --  PAD89
	IO_PAD90: inout bit; --  PAD90
	IO_PAD91: inout bit; --  PAD91
	IO_PAD92: inout bit; --  PAD92
	IO_PAD93: inout bit; --  PAD93
	IO_PAD94: inout bit; --  PAD94
	IO_PAD95: inout bit; --  PAD95
	IO_PAD96: inout bit; --  PAD96
	IO_PAD97: inout bit; --  PAD97
	IO_PAD98: inout bit; --  PAD98
	IO_PAD99: inout bit; --  PAD99
	IO_PAD100: inout bit; --  PAD100
	IO_PAD101: inout bit; --  PAD101
	IO_PAD102: inout bit; --  PAD102
	IO_PAD103: inout bit; --  PAD103
	IO_PAD104: inout bit; --  PAD104
	IO_PAD105: inout bit; --  PAD105
	IO_PAD106: inout bit; --  PAD106
	IO_PAD107: inout bit; --  PAD107
	IO_PAD108: inout bit; --  PAD108
	IO_PAD109: inout bit; --  PAD109
	IO_PAD110: inout bit; --  PAD110
	IO_PAD111: inout bit; --  PAD111
	IO_PAD112: inout bit; --  PAD112
	IO_PAD113: inout bit; --  PAD113
	IO_PAD114: inout bit; --  PAD114
	IO_PAD115: inout bit; --  PAD115
	IO_PAD116: inout bit; --  PAD116
	IO_PAD117: inout bit; --  PAD117
	IO_PAD118: inout bit; --  PAD118
	IO_PAD119: inout bit; --  PAD119
	IO_PAD120: inout bit; --  PAD120
	IO_PAD121: inout bit; --  PAD121
	IO_PAD122: inout bit; --  PAD122
	IO_PAD123: inout bit; --  PAD123
	IO_PAD124: inout bit; --  PAD124
	IO_PAD125: inout bit; --  PAD125
	IO_PAD126: inout bit; --  PAD126
	IO_PAD127: inout bit; --  PAD127
	IO_PAD128: inout bit; --  PAD128
	IO_PAD129: inout bit; --  PAD129
	IO_PAD130: inout bit; --  PAD130
	IO_PAD131: inout bit; --  PAD131
	IO_PAD132: inout bit; --  PAD132
	IO_PAD133: inout bit; --  PAD133
	IO_PAD134: inout bit; --  PAD134
	IO_PAD135: inout bit; --  PAD135
	IO_PAD136: inout bit; --  PAD136
	IO_PAD137: inout bit; --  PAD137
	IO_PAD138: inout bit; --  PAD138
	IO_PAD139: inout bit; --  PAD139
	IO_PAD140: inout bit; --  PAD140
	IO_PAD141: inout bit; --  PAD141
	IO_PAD142: inout bit; --  PAD142
	IO_PAD143: inout bit; --  PAD143
	IO_PAD144: inout bit; --  PAD144
	IO_PAD145: inout bit; --  PAD145
	IO_PAD146: inout bit; --  PAD146
	IO_PAD147: inout bit; --  PAD147
	IO_PAD148: inout bit; --  PAD148
	IO_PAD149: inout bit; --  PAD149
	IO_PAD150: inout bit; --  PAD150
	IO_PAD151: inout bit; --  PAD151
	IO_PAD152: inout bit; --  PAD152
	IO_PAD153: inout bit; --  PAD153
	IO_PAD154: inout bit; --  PAD154
	IO_PAD155: inout bit; --  PAD155
	IO_PAD156: inout bit; --  PAD156
	IO_PAD157: inout bit; --  PAD157
	IO_PAD158: inout bit; --  PAD158
	IO_PAD159: inout bit; --  PAD159
	IO_PAD160: inout bit; --  PAD160
	IO_PAD161: inout bit; --  PAD161
	IO_PAD162: inout bit; --  PAD162
	IO_PAD163: inout bit; --  PAD163
	IO_PAD164: inout bit; --  PAD164
	IO_PAD165: inout bit; --  PAD165
	IO_PAD166: inout bit; --  PAD166
	IO_PAD167: inout bit; --  PAD167
	IO_PAD168: inout bit; --  PAD168
	IO_PAD169: inout bit; --  PAD169
	IO_PAD170: inout bit; --  PAD170
	IO_PAD171: inout bit; --  PAD171
	IO_PAD172: inout bit; --  PAD172
	IO_PAD173: inout bit; --  PAD173
	IO_PAD174: inout bit; --  PAD174
	IO_PAD175: inout bit; --  PAD175
	IO_PAD176: inout bit; --  PAD176
	IO_PAD177: inout bit; --  PAD177
	IO_PAD178: inout bit; --  PAD178
	IO_PAD179: inout bit; --  PAD179
	IO_PAD180: inout bit; --  PAD180
	IO_PAD181: inout bit; --  PAD181
	IO_PAD182: inout bit; --  PAD182
	IO_PAD183: inout bit; --  PAD183
	IO_PAD184: inout bit; --  PAD184
	IO_PAD185: inout bit; --  PAD185
	IO_PAD186: inout bit; --  PAD186
	IO_PAD187: inout bit; --  PAD187
	IO_PAD188: inout bit; --  PAD188
	IO_PAD189: inout bit; --  PAD189
	IO_PAD190: inout bit; --  PAD190
	IO_PAD191: inout bit; --  PAD191
	IO_PAD192: inout bit; --  PAD192
	IO_PAD193: inout bit; --  PAD193
	IO_PAD194: inout bit; --  PAD194
	IO_PAD195: inout bit; --  PAD195
	IO_PAD196: inout bit; --  PAD196
	IO_PAD197: inout bit; --  PAD197
	IO_PAD198: inout bit; --  PAD198
	IO_PAD199: inout bit; --  PAD199
	IO_PAD200: inout bit; --  PAD200
	IO_PAD201: inout bit; --  PAD201
	IO_PAD202: inout bit; --  PAD202
	IO_PAD203: inout bit; --  PAD203
	IO_PAD204: inout bit; --  PAD204
	IO_PAD205: inout bit; --  PAD205
	IO_PAD206: inout bit; --  PAD206
	IO_PAD207: inout bit; --  PAD207
	IO_PAD208: inout bit; --  PAD208
	IO_PAD209: inout bit; --  PAD209
	IO_PAD210: inout bit; --  PAD210
	IO_PAD211: inout bit; --  PAD211
	IO_PAD212: inout bit; --  PAD212
	IO_PAD213: inout bit; --  PAD213
	IO_PAD214: inout bit; --  PAD214
	IO_PAD215: inout bit; --  PAD215
	IO_PAD216: inout bit; --  PAD216
	IO_PAD217: inout bit; --  PAD217
	IO_PAD218: inout bit; --  PAD218
	IO_PAD219: inout bit; --  PAD219
	IO_PAD220: inout bit; --  PAD220
	IO_PAD221: inout bit; --  PAD221
	IO_PAD222: inout bit; --  PAD222
	IO_PAD223: inout bit; --  PAD223
	IO_PAD224: inout bit; --  PAD224
	IO_PAD225: inout bit; --  PAD225
	IO_PAD226: inout bit; --  PAD226
	IO_PAD227: inout bit; --  PAD227
	IO_PAD228: inout bit; --  PAD228
	IO_PAD229: inout bit; --  PAD229
	IO_PAD230: inout bit; --  PAD230
	IO_PAD231: inout bit; --  PAD231
	IO_PAD232: inout bit; --  PAD232
	IO_PAD233: inout bit; --  PAD233
	IO_PAD234: inout bit; --  PAD234
	IO_PAD235: inout bit; --  PAD235
	IO_PAD236: inout bit; --  PAD236
	IO_PAD237: inout bit; --  PAD237
	IO_PAD238: inout bit; --  PAD238
	IO_PAD239: inout bit; --  PAD239
	IO_PAD240: inout bit; --  PAD240
	IO_PAD241: inout bit; --  PAD241
	IO_PAD242: inout bit; --  PAD242
	IO_PAD243: inout bit; --  PAD243
	IO_PAD244: inout bit; --  PAD244
	IO_PAD245: inout bit; --  PAD245
	IO_PAD246: inout bit; --  PAD246
	IO_PAD247: inout bit; --  PAD247
	IO_PAD248: inout bit; --  PAD248
	IO_PAD249: inout bit; --  PAD249
	IO_PAD250: inout bit; --  PAD250
	IO_PAD251: inout bit; --  PAD251
	IO_PAD252: inout bit; --  PAD252
	IO_PAD253: inout bit; --  PAD253
	IO_PAD254: inout bit; --  PAD254
	IO_PAD255: inout bit; --  PAD255
	IO_PAD256: inout bit; --  PAD256
	IO_PAD257: inout bit; --  PAD257
	IO_PAD258: inout bit; --  PAD258
	IO_PAD259: inout bit; --  PAD259
	IO_PAD260: inout bit; --  PAD260
	IO_PAD261: inout bit; --  PAD261
	IO_PAD262: inout bit; --  PAD262
	IO_PAD263: inout bit; --  PAD263
	IO_PAD264: inout bit; --  PAD264
	IO_PAD265: inout bit; --  PAD265
	IO_PAD266: inout bit; --  PAD266
	IO_PAD267: inout bit; --  PAD267
	IO_PAD268: inout bit; --  PAD268
	IO_PAD269: inout bit; --  PAD269
	IO_PAD270: inout bit; --  PAD270
	IO_PAD271: inout bit; --  PAD271
	IO_PAD272: inout bit; --  PAD272
	IO_PAD273: inout bit; --  PAD273
	IO_PAD274: inout bit; --  PAD274
	IO_PAD275: inout bit; --  PAD275
	IO_PAD276: inout bit; --  PAD276
	IO_PAD277: inout bit; --  PAD277
	IO_PAD278: inout bit; --  PAD278
	IO_PAD279: inout bit; --  PAD279
	IO_PAD280: inout bit; --  PAD280
	IO_PAD281: inout bit; --  PAD281
	IO_PAD282: inout bit; --  PAD282
	IO_PAD283: inout bit; --  PAD283
	IO_PAD284: inout bit; --  PAD284
	IO_PAD285: inout bit; --  PAD285
	IO_PAD286: inout bit; --  PAD286
	IO_PAD287: inout bit; --  PAD287
	IO_PAD288: inout bit; --  PAD288
	IO_PAD289: inout bit; --  PAD289
	IO_PAD290: inout bit; --  PAD290
	IO_PAD291: inout bit; --  PAD291
	IO_PAD292: inout bit; --  PAD292
	IO_PAD293: inout bit; --  PAD293
	IO_PAD294: inout bit; --  PAD294
	IO_PAD295: inout bit; --  PAD295
	IO_PAD296: inout bit; --  PAD296
	IO_PAD297: inout bit; --  PAD297
	IO_PAD298: inout bit; --  PAD298
	IO_PAD299: inout bit; --  PAD299
	IO_PAD300: inout bit; --  PAD300
	IO_PAD301: inout bit; --  PAD301
	IO_PAD302: inout bit; --  PAD302
	IO_PAD303: inout bit; --  PAD303
	IO_PAD304: inout bit; --  PAD304
	IO_PAD305: inout bit; --  PAD305
	IO_PAD306: inout bit; --  PAD306
	IO_PAD307: inout bit; --  PAD307
	IO_PAD308: inout bit; --  PAD308
	IO_PAD309: inout bit; --  PAD309
	IO_PAD310: inout bit; --  PAD310
	IO_PAD311: inout bit; --  PAD311
	IO_PAD312: inout bit; --  PAD312
	IO_PAD313: inout bit; --  PAD313
	IO_PAD314: inout bit; --  PAD314
	IO_PAD315: inout bit; --  PAD315
	IO_PAD316: inout bit; --  PAD316
	IO_PAD317: inout bit; --  PAD317
	IO_PAD318: inout bit; --  PAD318
	IO_PAD319: inout bit; --  PAD319
	IO_PAD320: inout bit; --  PAD320
	IO_PAD321: inout bit; --  PAD321
	IO_PAD322: inout bit; --  PAD322
	IO_PAD323: inout bit; --  PAD323
	IO_PAD324: inout bit; --  PAD324
	IO_PAD325: inout bit; --  PAD325
	IO_PAD326: inout bit; --  PAD326
	IO_PAD327: inout bit; --  PAD327
	IO_PAD328: inout bit; --  PAD328
	IO_PAD329: inout bit; --  PAD329
	IO_PAD330: inout bit; --  PAD330
	IO_PAD331: inout bit; --  PAD331
	IO_PAD332: inout bit; --  PAD332
	IO_PAD333: inout bit; --  PAD333
	IO_PAD334: inout bit; --  PAD334
	IO_PAD335: inout bit; --  PAD335
	IO_PAD336: inout bit; --  PAD336
	IO_PAD337: inout bit; --  PAD337
	IO_PAD338: inout bit; --  PAD338
	IO_PAD339: inout bit; --  PAD339
	IO_PAD340: inout bit; --  PAD340
	IO_PAD341: inout bit; --  PAD341
	IO_PAD342: inout bit; --  PAD342
	IO_PAD343: inout bit; --  PAD343
	IO_PAD344: inout bit; --  PAD344
	IO_PAD345: inout bit; --  PAD345
	IO_PAD346: inout bit; --  PAD346
	IO_PAD347: inout bit; --  PAD347
	IO_PAD348: inout bit; --  PAD348
	IO_PAD349: inout bit; --  PAD349
	IO_PAD350: inout bit; --  PAD350
	IO_PAD351: inout bit; --  PAD351
	IO_PAD352: inout bit; --  PAD352
	IO_PAD353: inout bit; --  PAD353
	IO_PAD354: inout bit; --  PAD354
	IO_PAD355: inout bit; --  PAD355
	IO_PAD356: inout bit; --  PAD356
	IO_PAD357: inout bit; --  PAD357
	IO_PAD358: inout bit; --  PAD358
	IO_PAD359: inout bit; --  PAD359
	IO_PAD360: inout bit; --  PAD360
	IO_PAD361: inout bit; --  PAD361
	IO_PAD362: inout bit; --  PAD362
	IO_PAD363: inout bit; --  PAD363
	IO_PAD364: inout bit; --  PAD364
	IO_PAD365: inout bit; --  PAD365
	IO_PAD366: inout bit; --  PAD366
	IO_PAD367: inout bit; --  PAD367
	IO_PAD368: inout bit; --  PAD368
	IO_PAD369: inout bit; --  PAD369
	IO_PAD370: inout bit; --  PAD370
	IO_PAD371: inout bit; --  PAD371
	IO_PAD372: inout bit; --  PAD372
	IO_PAD373: inout bit; --  PAD373
	IO_PAD374: inout bit; --  PAD374
	IO_PAD375: inout bit; --  PAD375
	IO_PAD376: inout bit; --  PAD376
	IO_PAD377: inout bit; --  PAD377
	IO_PAD378: inout bit; --  PAD378
	IO_PAD379: inout bit; --  PAD379
	IO_PAD380: inout bit; --  PAD380
	IO_PAD381: inout bit; --  PAD381
	IO_PAD382: inout bit; --  PAD382
	IO_PAD383: inout bit; --  PAD383
	IO_PAD384: inout bit; --  PAD384
	IO_PAD385: inout bit; --  PAD385
	IO_PAD386: inout bit; --  PAD386
	IO_PAD387: inout bit; --  PAD387
	IO_PAD388: inout bit; --  PAD388
	IO_PAD389: inout bit; --  PAD389
	IO_PAD390: inout bit; --  PAD390
	IO_PAD391: inout bit; --  PAD391
	IO_PAD392: inout bit; --  PAD392
	IO_PAD393: inout bit; --  PAD393
	IO_PAD394: inout bit; --  PAD394
	IO_PAD395: inout bit; --  PAD395
	IO_PAD396: inout bit; --  PAD396
	IO_PAD397: inout bit; --  PAD397
	IO_PAD398: inout bit; --  PAD398
	IO_PAD399: inout bit; --  PAD399
	IO_PAD400: inout bit; --  PAD400
	IO_PAD401: inout bit; --  PAD401
	IO_PAD402: inout bit; --  PAD402
	IO_PAD403: inout bit; --  PAD403
	IO_PAD404: inout bit; --  PAD404
	IO_PAD405: inout bit; --  PAD405
	IO_PAD406: inout bit; --  PAD406
	IO_PAD407: inout bit; --  PAD407
	IO_PAD408: inout bit; --  PAD408
	IO_PAD409: inout bit; --  PAD409
	IO_PAD410: inout bit; --  PAD410
	IO_PAD411: inout bit; --  PAD411
	IO_PAD412: inout bit; --  PAD412
	IO_PAD413: inout bit; --  PAD413
	IO_PAD414: inout bit; --  PAD414
	IO_PAD415: inout bit; --  PAD415
	IO_PAD416: inout bit; --  PAD416
	IO_PAD417: inout bit; --  PAD417
	IO_PAD418: inout bit; --  PAD418
	IO_PAD419: inout bit; --  PAD419
	IO_PAD420: inout bit; --  PAD420
	IO_PAD421: inout bit; --  PAD421
	IO_PAD422: inout bit; --  PAD422
	IO_PAD423: inout bit; --  PAD423
	IO_PAD424: inout bit; --  PAD424
	IO_PAD425: inout bit; --  PAD425
	IO_PAD426: inout bit; --  PAD426
	IO_PAD427: inout bit; --  PAD427
	IO_PAD428: inout bit; --  PAD428
	IO_PAD429: inout bit; --  PAD429
	IO_PAD430: inout bit; --  PAD430
	IO_PAD431: inout bit; --  PAD431
	IO_PAD432: inout bit; --  PAD432
	IO_PAD433: inout bit; --  PAD433
	IO_PAD434: inout bit; --  PAD434
	IO_PAD435: inout bit; --  PAD435
	IO_PAD436: inout bit; --  PAD436
	IO_PAD437: inout bit; --  PAD437
	IO_PAD438: inout bit; --  PAD438
	IO_PAD439: inout bit; --  PAD439
	IO_PAD440: inout bit; --  PAD440
	IO_PAD441: inout bit; --  PAD441
	IO_PAD442: inout bit; --  PAD442
	IO_PAD443: inout bit; --  PAD443
	IO_PAD444: inout bit; --  PAD444
	IO_PAD445: inout bit; --  PAD445
	IO_PAD446: inout bit; --  PAD446
	IO_PAD447: inout bit; --  PAD447
	IO_PAD448: inout bit; --  PAD448
	IO_PAD449: inout bit; --  PAD449
	IO_PAD450: inout bit; --  PAD450
	IO_PAD451: inout bit; --  PAD451
	IO_PAD452: inout bit; --  PAD452
	IO_PAD453: inout bit; --  PAD453
	IO_PAD454: inout bit; --  PAD454
	IO_PAD455: inout bit; --  PAD455
	IO_PAD456: inout bit; --  PAD456
	IO_PAD457: inout bit; --  PAD457
	IO_PAD458: inout bit; --  PAD458
	IO_PAD459: inout bit; --  PAD459
	IO_PAD460: inout bit; --  PAD460
	IO_PAD461: inout bit; --  PAD461
	IO_PAD462: inout bit; --  PAD462
	IO_PAD463: inout bit; --  PAD463
	IO_PAD464: inout bit; --  PAD464
	IO_PAD465: inout bit; --  PAD465
	IO_PAD466: inout bit; --  PAD466
	IO_PAD467: inout bit; --  PAD467
	IO_PAD468: inout bit; --  PAD468
	IO_PAD469: inout bit; --  PAD469
	IO_PAD470: inout bit; --  PAD470
	IO_PAD471: inout bit; --  PAD471
	IO_PAD472: inout bit; --  PAD472
	IO_PAD473: inout bit; --  PAD473
	IO_PAD474: inout bit; --  PAD474
	IO_PAD475: inout bit; --  PAD475
	IO_PAD476: inout bit; --  PAD476
	IO_PAD477: inout bit; --  PAD477
	IO_PAD478: inout bit; --  PAD478
	IO_PAD479: inout bit; --  PAD479
	IO_PAD480: inout bit; --  PAD480
	IO_PAD481: inout bit; --  PAD481
	IO_PAD482: inout bit; --  PAD482
	IO_PAD483: inout bit; --  PAD483
	IO_PAD484: inout bit; --  PAD484
	IO_PAD485: inout bit; --  PAD485
	IO_PAD486: inout bit; --  PAD486
	IO_PAD487: inout bit; --  PAD487
	IO_PAD488: inout bit; --  PAD488
	IO_PAD489: inout bit; --  PAD489
	IO_PAD490: inout bit; --  PAD490
	IO_PAD491: inout bit; --  PAD491
	IO_PAD492: inout bit; --  PAD492
	IO_PAD493: inout bit; --  PAD493
	IO_PAD494: inout bit; --  PAD494
	IO_PAD495: inout bit; --  PAD495
	IO_PAD496: inout bit; --  PAD496
	IO_PAD497: inout bit; --  PAD497
	IO_PAD498: inout bit; --  PAD498
	IO_PAD499: inout bit; --  PAD499
	IO_PAD500: inout bit; --  PAD500
	IO_PAD501: inout bit; --  PAD501
	IO_PAD502: inout bit; --  PAD502
	IO_PAD503: inout bit; --  PAD503
	IO_PAD504: inout bit; --  PAD504
	IO_PAD505: inout bit; --  PAD505
	IO_PAD506: inout bit; --  PAD506
	IO_PAD507: inout bit; --  PAD507
	IO_PAD508: inout bit; --  PAD508
	IO_PAD509: inout bit; --  PAD509
	IO_PAD510: inout bit; --  PAD510
	IO_PAD511: inout bit; --  PAD511
	IO_PAD512: inout bit; --  PAD512
	IO_PAD513: inout bit; --  PAD513
	IO_PAD514: inout bit; --  PAD514
	IO_PAD515: inout bit; --  PAD515
	IO_PAD516: inout bit; --  PAD516
	IO_PAD517: inout bit; --  PAD517
	IO_PAD518: inout bit; --  PAD518
	IO_PAD519: inout bit; --  PAD519
	IO_PAD520: inout bit; --  PAD520
	IO_PAD521: inout bit; --  PAD521
	IO_PAD522: inout bit; --  PAD522
	IO_PAD523: inout bit; --  PAD523
	IO_PAD524: inout bit; --  PAD524
	IO_PAD525: inout bit; --  PAD525
	IO_PAD526: inout bit; --  PAD526
	IO_PAD527: inout bit; --  PAD527
	IO_PAD528: inout bit; --  PAD528
	IO_PAD529: inout bit; --  PAD529
	IO_PAD530: inout bit; --  PAD530
	IO_PAD531: inout bit; --  PAD531
	IO_PAD532: inout bit; --  PAD532
	IO_PAD533: inout bit; --  PAD533
	IO_PAD534: inout bit; --  PAD534
	IO_PAD535: inout bit; --  PAD535
	IO_PAD536: inout bit; --  PAD536
	IO_PAD537: inout bit; --  PAD537
	IO_PAD538: inout bit; --  PAD538
	IO_PAD539: inout bit; --  PAD539
	IO_PAD540: inout bit; --  PAD540
	IO_PAD541: inout bit; --  PAD541
	IO_PAD542: inout bit; --  PAD542
	IO_PAD543: inout bit; --  PAD543
	IO_PAD544: inout bit; --  PAD544
	IO_PAD545: inout bit; --  PAD545
	IO_PAD546: inout bit; --  PAD546
	IO_PAD547: inout bit; --  PAD547
	IO_PAD548: inout bit; --  PAD548
	IO_PAD549: inout bit; --  PAD549
	IO_PAD550: inout bit; --  PAD550
	IO_PAD551: inout bit; --  PAD551
	IO_PAD552: inout bit; --  PAD552
	IO_PAD553: inout bit; --  PAD553
	IO_PAD554: inout bit; --  PAD554
	IO_PAD555: inout bit; --  PAD555
	IO_PAD556: inout bit; --  PAD556
	IO_PAD557: inout bit; --  PAD557
	IO_PAD558: inout bit; --  PAD558
	IO_PAD559: inout bit; --  PAD559
	IO_PAD560: inout bit; --  PAD560
	IO_PAD561: inout bit; --  PAD561
	IO_PAD562: inout bit; --  PAD562
	IO_PAD563: inout bit; --  PAD563
	IO_PAD564: inout bit; --  PAD564
	IO_PAD565: inout bit; --  PAD565
	IO_PAD566: inout bit; --  PAD566
	IO_PAD567: inout bit; --  PAD567
	IO_PAD568: inout bit; --  PAD568
	IO_PAD569: inout bit; --  PAD569
	IO_PAD570: inout bit; --  PAD570
	IO_PAD571: inout bit; --  PAD571
	IO_PAD572: inout bit; --  PAD572
	IO_PAD573: inout bit; --  PAD573
	IO_PAD574: inout bit; --  PAD574
	IO_PAD575: inout bit; --  PAD575
	IO_PAD576: inout bit --  PAD576
); --end port list

use STD_1149_1_1994.all;

attribute COMPONENT_CONFORMANCE of XQR4VFX60 : entity is
	"STD_1149_1_1993";

attribute PIN_MAP of XQR4VFX60 : entity is PHYSICAL_PIN_MAP;

constant DIE_BOND: PIN_MAP_STRING:=
	"AVCCAUXMGT_102:BARE1," &
	"AVCCAUXMGT_103:BARE2," &
	"AVCCAUXMGT_105:BARE3," &
	"AVCCAUXMGT_106:BARE4," &
	"AVCCAUXMGT_109:BARE5," &
	"AVCCAUXMGT_110:BARE6," &
	"AVCCAUXMGT_112:BARE7," &
	"AVCCAUXMGT_113:BARE8," &
	"AVCCAUXRXA_102:BARE9," &
	"AVCCAUXRXA_103:BARE10," &
	"AVCCAUXRXA_105:BARE11," &
	"AVCCAUXRXA_106:BARE12," &
	"AVCCAUXRXA_109:BARE13," &
	"AVCCAUXRXA_110:BARE14," &
	"AVCCAUXRXA_112:BARE15," &
	"AVCCAUXRXA_113:BARE16," &
	"AVCCAUXRXB_102:BARE17," &
	"AVCCAUXRXB_103:BARE18," &
	"AVCCAUXRXB_105:BARE19," &
	"AVCCAUXRXB_106:BARE20," &
	"AVCCAUXRXB_109:BARE21," &
	"AVCCAUXRXB_110:BARE22," &
	"AVCCAUXRXB_112:BARE23," &
	"AVCCAUXRXB_113:BARE24," &
	"AVCCAUXTX_102:BARE25," &
	"AVCCAUXTX_103:BARE26," &
	"AVCCAUXTX_105:BARE27," &
	"AVCCAUXTX_106:BARE28," &
	"AVCCAUXTX_109:BARE29," &
	"AVCCAUXTX_110:BARE30," &
	"AVCCAUXTX_112:BARE31," &
	"AVCCAUXTX_113:BARE32," &
	"AVDD_ADC:BARE33," &
	"AVDD_SM:BARE34," &
	"AVSS_ADC:BARE35," &
	"AVSS_SM:BARE36," &
	"CCLK_0:BARE37," &
	"CS_B_0:BARE38," &
	"DONE_0:BARE39," &
	"DOUT_BUSY_0:BARE40," &
	"D_IN_0:BARE41," &
	"GNDA_102:(BARE42,BARE43,BARE44,BARE45,BARE46,BARE47,BARE48)," &
	"GNDA_103:(BARE49,BARE50,BARE51,BARE52,BARE53,BARE54,BARE55)," &
	"GNDA_105:(BARE56,BARE57,BARE58,BARE59,BARE60,BARE61,BARE62)," &
	"GNDA_106:(BARE63,BARE64,BARE65,BARE66,BARE67,BARE68,BARE69)," &
	"GNDA_109:(BARE70,BARE71,BARE72,BARE73,BARE74,BARE75,BARE76)," &
	"GNDA_110:(BARE77,BARE78,BARE79,BARE80,BARE81,BARE82,BARE83)," &
	"GNDA_112:(BARE84,BARE85,BARE86,BARE87,BARE88,BARE89,BARE90)," &
	"GNDA_113:(BARE91,BARE92,BARE93,BARE94,BARE95,BARE96,BARE97)," &
	"GND_DCM:BARE98," &
	"GND_VBG:BARE99," &
	"HSWAP_EN_0:BARE100," &
	"INIT_0:BARE101," &
	"M0_0:BARE102," &
	"M1_0:BARE103," &
	"M2_0:BARE104," &
	"MGTCLK_N_102:BARE105," &
	"MGTCLK_N_103:BARE106," &
	"MGTCLK_N_105:BARE107," &
	"MGTCLK_N_106:BARE108," &
	"MGTCLK_N_109:BARE109," &
	"MGTCLK_N_110:BARE110," &
	"MGTCLK_N_112:BARE111," &
	"MGTCLK_N_113:BARE112," &
	"MGTCLK_P_102:BARE113," &
	"MGTCLK_P_103:BARE114," &
	"MGTCLK_P_105:BARE115," &
	"MGTCLK_P_106:BARE116," &
	"MGTCLK_P_109:BARE117," &
	"MGTCLK_P_110:BARE118," &
	"MGTCLK_P_112:BARE119," &
	"MGTCLK_P_113:BARE120," &
	"MGTVREF_102:BARE121," &
	"MGTVREF_103:BARE122," &
	"MGTVREF_105:BARE123," &
	"MGTVREF_106:BARE124," &
	"MGTVREF_109:BARE125," &
	"MGTVREF_110:BARE126," &
	"MGTVREF_112:BARE127," &
	"MGTVREF_113:BARE128," &
	"PROG_B:BARE129," &
	"PWRDWN_B:BARE130," &
	"RDWR_B_0:BARE131," &
	"RTERM_102:BARE132," &
	"RTERM_103:BARE133," &
	"RTERM_105:BARE134," &
	"RTERM_106:BARE135," &
	"RTERM_109:BARE136," &
	"RTERM_110:BARE137," &
	"RTERM_112:BARE138," &
	"RTERM_113:BARE139," &
	"RXNPADA_102:BARE140," &
	"RXNPADA_103:BARE141," &
	"RXNPADA_105:BARE142," &
	"RXNPADA_106:BARE143," &
	"RXNPADA_109:BARE144," &
	"RXNPADA_110:BARE145," &
	"RXNPADA_112:BARE146," &
	"RXNPADA_113:BARE147," &
	"RXNPADB_102:BARE148," &
	"RXNPADB_103:BARE149," &
	"RXNPADB_105:BARE150," &
	"RXNPADB_106:BARE151," &
	"RXNPADB_109:BARE152," &
	"RXNPADB_110:BARE153," &
	"RXNPADB_112:BARE154," &
	"RXNPADB_113:BARE155," &
	"RXPPADA_102:BARE156," &
	"RXPPADA_103:BARE157," &
	"RXPPADA_105:BARE158," &
	"RXPPADA_106:BARE159," &
	"RXPPADA_109:BARE160," &
	"RXPPADA_110:BARE161," &
	"RXPPADA_112:BARE162," &
	"RXPPADA_113:BARE163," &
	"RXPPADB_102:BARE164," &
	"RXPPADB_103:BARE165," &
	"RXPPADB_105:BARE166," &
	"RXPPADB_106:BARE167," &
	"RXPPADB_109:BARE168," &
	"RXPPADB_110:BARE169," &
	"RXPPADB_112:BARE170," &
	"RXPPADB_113:BARE171," &
	"TCK:BARE172," &
	"TDI:BARE173," &
	"TDN_0:BARE174," &
	"TDO:BARE175," &
	"TDP_0:BARE176," &
	"TMS:BARE177," &
	"TXNPADA_102:BARE178," &
	"TXNPADA_103:BARE179," &
	"TXNPADA_105:BARE180," &
	"TXNPADA_106:BARE181," &
	"TXNPADA_109:BARE182," &
	"TXNPADA_110:BARE183," &
	"TXNPADA_112:BARE184," &
	"TXNPADA_113:BARE185," &
	"TXNPADB_102:BARE186," &
	"TXNPADB_103:BARE187," &
	"TXNPADB_105:BARE188," &
	"TXNPADB_106:BARE189," &
	"TXNPADB_109:BARE190," &
	"TXNPADB_110:BARE191," &
	"TXNPADB_112:BARE192," &
	"TXNPADB_113:BARE193," &
	"TXPPADA_102:BARE194," &
	"TXPPADA_103:BARE195," &
	"TXPPADA_105:BARE196," &
	"TXPPADA_106:BARE197," &
	"TXPPADA_109:BARE198," &
	"TXPPADA_110:BARE199," &
	"TXPPADA_112:BARE200," &
	"TXPPADA_113:BARE201," &
	"TXPPADB_102:BARE202," &
	"TXPPADB_103:BARE203," &
	"TXPPADB_105:BARE204," &
	"TXPPADB_106:BARE205," &
	"TXPPADB_109:BARE206," &
	"TXPPADB_110:BARE207," &
	"TXPPADB_112:BARE208," &
	"TXPPADB_113:BARE209," &
	"VBATT_0:BARE210," &
	"VCCAUX:(BARE211,BARE212,BARE213,BARE214,BARE215,BARE216,BARE217,BARE218)," &
	"VCCINT:BARE219," &
	"VCCO0:BARE220," &
	"VCCO1:BARE221," &
	"VCCO10:BARE222," &
	"VCCO11:BARE223," &
	"VCCO12:BARE224," &
	"VCCO2:BARE225," &
	"VCCO3:BARE226," &
	"VCCO4:BARE227," &
	"VCCO5:BARE228," &
	"VCCO6:BARE229," &
	"VCCO7:BARE230," &
	"VCCO8:BARE231," &
	"VCCO9:BARE232," &
	"VN_ADC:BARE233," &
	"VN_SM:BARE234," &
	"VP_ADC:BARE235," &
	"VP_SM:BARE236," &
	"VREFN_ADC:BARE237," &
	"VREFN_SM:BARE238," &
	"VREFP_ADC:BARE239," &
	"VREFP_SM:BARE240," &
	"VTRXA_102:BARE241," &
	"VTRXA_103:BARE242," &
	"VTRXA_105:BARE243," &
	"VTRXA_106:BARE244," &
	"VTRXA_109:BARE245," &
	"VTRXA_110:BARE246," &
	"VTRXA_112:BARE247," &
	"VTRXA_113:BARE248," &
	"VTRXB_102:BARE249," &
	"VTRXB_103:BARE250," &
	"VTRXB_105:BARE251," &
	"VTRXB_106:BARE252," &
	"VTRXB_109:BARE253," &
	"VTRXB_110:BARE254," &
	"VTRXB_112:BARE255," &
	"VTRXB_113:BARE256," &
	"VTTXA_102:BARE257," &
	"VTTXA_103:BARE258," &
	"VTTXA_105:BARE259," &
	"VTTXA_106:BARE260," &
	"VTTXA_109:BARE261," &
	"VTTXA_110:BARE262," &
	"VTTXA_112:BARE263," &
	"VTTXA_113:BARE264," &
	"VTTXB_102:BARE265," &
	"VTTXB_103:BARE266," &
	"VTTXB_105:BARE267," &
	"VTTXB_106:BARE268," &
	"VTTXB_109:BARE269," &
	"VTTXB_110:BARE270," &
	"VTTXB_112:BARE271," &
	"VTTXB_113:BARE272," &
	"IO_PAD1:BARE273," &
	"IO_PAD2:BARE274," &
	"IO_PAD3:BARE275," &
	"IO_PAD4:BARE276," &
	"IO_PAD5:BARE277," &
	"IO_PAD6:BARE278," &
	"IO_PAD7:BARE279," &
	"IO_PAD8:BARE280," &
	"IO_PAD9:BARE281," &
	"IO_PAD10:BARE282," &
	"IO_PAD11:BARE283," &
	"IO_PAD12:BARE284," &
	"IO_PAD13:BARE285," &
	"IO_PAD14:BARE286," &
	"IO_PAD15:BARE287," &
	"IO_PAD16:BARE288," &
	"IO_PAD17:BARE289," &
	"IO_PAD18:BARE290," &
	"IO_PAD19:BARE291," &
	"IO_PAD20:BARE292," &
	"IO_PAD21:BARE293," &
	"IO_PAD22:BARE294," &
	"IO_PAD23:BARE295," &
	"IO_PAD24:BARE296," &
	"IO_PAD25:BARE297," &
	"IO_PAD26:BARE298," &
	"IO_PAD27:BARE299," &
	"IO_PAD28:BARE300," &
	"IO_PAD29:BARE301," &
	"IO_PAD30:BARE302," &
	"IO_PAD31:BARE303," &
	"IO_PAD32:BARE304," &
	"IO_PAD33:BARE305," &
	"IO_PAD34:BARE306," &
	"IO_PAD35:BARE307," &
	"IO_PAD36:BARE308," &
	"IO_PAD37:BARE309," &
	"IO_PAD38:BARE310," &
	"IO_PAD39:BARE311," &
	"IO_PAD40:BARE312," &
	"IO_PAD41:BARE313," &
	"IO_PAD42:BARE314," &
	"IO_PAD43:BARE315," &
	"IO_PAD44:BARE316," &
	"IO_PAD45:BARE317," &
	"IO_PAD46:BARE318," &
	"IO_PAD47:BARE319," &
	"IO_PAD48:BARE320," &
	"IO_PAD49:BARE321," &
	"IO_PAD50:BARE322," &
	"IO_PAD51:BARE323," &
	"IO_PAD52:BARE324," &
	"IO_PAD53:BARE325," &
	"IO_PAD54:BARE326," &
	"IO_PAD55:BARE327," &
	"IO_PAD56:BARE328," &
	"IO_PAD57:BARE329," &
	"IO_PAD58:BARE330," &
	"IO_PAD59:BARE331," &
	"IO_PAD60:BARE332," &
	"IO_PAD61:BARE333," &
	"IO_PAD62:BARE334," &
	"IO_PAD63:BARE335," &
	"IO_PAD64:BARE336," &
	"IO_PAD65:BARE337," &
	"IO_PAD66:BARE338," &
	"IO_PAD67:BARE339," &
	"IO_PAD68:BARE340," &
	"IO_PAD69:BARE341," &
	"IO_PAD70:BARE342," &
	"IO_PAD71:BARE343," &
	"IO_PAD72:BARE344," &
	"IO_PAD73:BARE345," &
	"IO_PAD74:BARE346," &
	"IO_PAD75:BARE347," &
	"IO_PAD76:BARE348," &
	"IO_PAD77:BARE349," &
	"IO_PAD78:BARE350," &
	"IO_PAD79:BARE351," &
	"IO_PAD80:BARE352," &
	"IO_PAD81:BARE353," &
	"IO_PAD82:BARE354," &
	"IO_PAD83:BARE355," &
	"IO_PAD84:BARE356," &
	"IO_PAD85:BARE357," &
	"IO_PAD86:BARE358," &
	"IO_PAD87:BARE359," &
	"IO_PAD88:BARE360," &
	"IO_PAD89:BARE361," &
	"IO_PAD90:BARE362," &
	"IO_PAD91:BARE363," &
	"IO_PAD92:BARE364," &
	"IO_PAD93:BARE365," &
	"IO_PAD94:BARE366," &
	"IO_PAD95:BARE367," &
	"IO_PAD96:BARE368," &
	"IO_PAD97:BARE369," &
	"IO_PAD98:BARE370," &
	"IO_PAD99:BARE371," &
	"IO_PAD100:BARE372," &
	"IO_PAD101:BARE373," &
	"IO_PAD102:BARE374," &
	"IO_PAD103:BARE375," &
	"IO_PAD104:BARE376," &
	"IO_PAD105:BARE377," &
	"IO_PAD106:BARE378," &
	"IO_PAD107:BARE379," &
	"IO_PAD108:BARE380," &
	"IO_PAD109:BARE381," &
	"IO_PAD110:BARE382," &
	"IO_PAD111:BARE383," &
	"IO_PAD112:BARE384," &
	"IO_PAD113:BARE385," &
	"IO_PAD114:BARE386," &
	"IO_PAD115:BARE387," &
	"IO_PAD116:BARE388," &
	"IO_PAD117:BARE389," &
	"IO_PAD118:BARE390," &
	"IO_PAD119:BARE391," &
	"IO_PAD120:BARE392," &
	"IO_PAD121:BARE393," &
	"IO_PAD122:BARE394," &
	"IO_PAD123:BARE395," &
	"IO_PAD124:BARE396," &
	"IO_PAD125:BARE397," &
	"IO_PAD126:BARE398," &
	"IO_PAD127:BARE399," &
	"IO_PAD128:BARE400," &
	"IO_PAD129:BARE401," &
	"IO_PAD130:BARE402," &
	"IO_PAD131:BARE403," &
	"IO_PAD132:BARE404," &
	"IO_PAD133:BARE405," &
	"IO_PAD134:BARE406," &
	"IO_PAD135:BARE407," &
	"IO_PAD136:BARE408," &
	"IO_PAD137:BARE409," &
	"IO_PAD138:BARE410," &
	"IO_PAD139:BARE411," &
	"IO_PAD140:BARE412," &
	"IO_PAD141:BARE413," &
	"IO_PAD142:BARE414," &
	"IO_PAD143:BARE415," &
	"IO_PAD144:BARE416," &
	"IO_PAD145:BARE417," &
	"IO_PAD146:BARE418," &
	"IO_PAD147:BARE419," &
	"IO_PAD148:BARE420," &
	"IO_PAD149:BARE421," &
	"IO_PAD150:BARE422," &
	"IO_PAD151:BARE423," &
	"IO_PAD152:BARE424," &
	"IO_PAD153:BARE425," &
	"IO_PAD154:BARE426," &
	"IO_PAD155:BARE427," &
	"IO_PAD156:BARE428," &
	"IO_PAD157:BARE429," &
	"IO_PAD158:BARE430," &
	"IO_PAD159:BARE431," &
	"IO_PAD160:BARE432," &
	"IO_PAD161:BARE433," &
	"IO_PAD162:BARE434," &
	"IO_PAD163:BARE435," &
	"IO_PAD164:BARE436," &
	"IO_PAD165:BARE437," &
	"IO_PAD166:BARE438," &
	"IO_PAD167:BARE439," &
	"IO_PAD168:BARE440," &
	"IO_PAD169:BARE441," &
	"IO_PAD170:BARE442," &
	"IO_PAD171:BARE443," &
	"IO_PAD172:BARE444," &
	"IO_PAD173:BARE445," &
	"IO_PAD174:BARE446," &
	"IO_PAD175:BARE447," &
	"IO_PAD176:BARE448," &
	"IO_PAD177:BARE449," &
	"IO_PAD178:BARE450," &
	"IO_PAD179:BARE451," &
	"IO_PAD180:BARE452," &
	"IO_PAD181:BARE453," &
	"IO_PAD182:BARE454," &
	"IO_PAD183:BARE455," &
	"IO_PAD184:BARE456," &
	"IO_PAD185:BARE457," &
	"IO_PAD186:BARE458," &
	"IO_PAD187:BARE459," &
	"IO_PAD188:BARE460," &
	"IO_PAD189:BARE461," &
	"IO_PAD190:BARE462," &
	"IO_PAD191:BARE463," &
	"IO_PAD192:BARE464," &
	"IO_PAD193:BARE465," &
	"IO_PAD194:BARE466," &
	"IO_PAD195:BARE467," &
	"IO_PAD196:BARE468," &
	"IO_PAD197:BARE469," &
	"IO_PAD198:BARE470," &
	"IO_PAD199:BARE471," &
	"IO_PAD200:BARE472," &
	"IO_PAD201:BARE473," &
	"IO_PAD202:BARE474," &
	"IO_PAD203:BARE475," &
	"IO_PAD204:BARE476," &
	"IO_PAD205:BARE477," &
	"IO_PAD206:BARE478," &
	"IO_PAD207:BARE479," &
	"IO_PAD208:BARE480," &
	"IO_PAD209:BARE481," &
	"IO_PAD210:BARE482," &
	"IO_PAD211:BARE483," &
	"IO_PAD212:BARE484," &
	"IO_PAD213:BARE485," &
	"IO_PAD214:BARE486," &
	"IO_PAD215:BARE487," &
	"IO_PAD216:BARE488," &
	"IO_PAD217:BARE489," &
	"IO_PAD218:BARE490," &
	"IO_PAD219:BARE491," &
	"IO_PAD220:BARE492," &
	"IO_PAD221:BARE493," &
	"IO_PAD222:BARE494," &
	"IO_PAD223:BARE495," &
	"IO_PAD224:BARE496," &
	"IO_PAD225:BARE497," &
	"IO_PAD226:BARE498," &
	"IO_PAD227:BARE499," &
	"IO_PAD228:BARE500," &
	"IO_PAD229:BARE501," &
	"IO_PAD230:BARE502," &
	"IO_PAD231:BARE503," &
	"IO_PAD232:BARE504," &
	"IO_PAD233:BARE505," &
	"IO_PAD234:BARE506," &
	"IO_PAD235:BARE507," &
	"IO_PAD236:BARE508," &
	"IO_PAD237:BARE509," &
	"IO_PAD238:BARE510," &
	"IO_PAD239:BARE511," &
	"IO_PAD240:BARE512," &
	"IO_PAD241:BARE513," &
	"IO_PAD242:BARE514," &
	"IO_PAD243:BARE515," &
	"IO_PAD244:BARE516," &
	"IO_PAD245:BARE517," &
	"IO_PAD246:BARE518," &
	"IO_PAD247:BARE519," &
	"IO_PAD248:BARE520," &
	"IO_PAD249:BARE521," &
	"IO_PAD250:BARE522," &
	"IO_PAD251:BARE523," &
	"IO_PAD252:BARE524," &
	"IO_PAD253:BARE525," &
	"IO_PAD254:BARE526," &
	"IO_PAD255:BARE527," &
	"IO_PAD256:BARE528," &
	"IO_PAD257:BARE529," &
	"IO_PAD258:BARE530," &
	"IO_PAD259:BARE531," &
	"IO_PAD260:BARE532," &
	"IO_PAD261:BARE533," &
	"IO_PAD262:BARE534," &
	"IO_PAD263:BARE535," &
	"IO_PAD264:BARE536," &
	"IO_PAD265:BARE537," &
	"IO_PAD266:BARE538," &
	"IO_PAD267:BARE539," &
	"IO_PAD268:BARE540," &
	"IO_PAD269:BARE541," &
	"IO_PAD270:BARE542," &
	"IO_PAD271:BARE543," &
	"IO_PAD272:BARE544," &
	"IO_PAD273:BARE545," &
	"IO_PAD274:BARE546," &
	"IO_PAD275:BARE547," &
	"IO_PAD276:BARE548," &
	"IO_PAD277:BARE549," &
	"IO_PAD278:BARE550," &
	"IO_PAD279:BARE551," &
	"IO_PAD280:BARE552," &
	"IO_PAD281:BARE553," &
	"IO_PAD282:BARE554," &
	"IO_PAD283:BARE555," &
	"IO_PAD284:BARE556," &
	"IO_PAD285:BARE557," &
	"IO_PAD286:BARE558," &
	"IO_PAD287:BARE559," &
	"IO_PAD288:BARE560," &
	"IO_PAD289:BARE561," &
	"IO_PAD290:BARE562," &
	"IO_PAD291:BARE563," &
	"IO_PAD292:BARE564," &
	"IO_PAD293:BARE565," &
	"IO_PAD294:BARE566," &
	"IO_PAD295:BARE567," &
	"IO_PAD296:BARE568," &
	"IO_PAD297:BARE569," &
	"IO_PAD298:BARE570," &
	"IO_PAD299:BARE571," &
	"IO_PAD300:BARE572," &
	"IO_PAD301:BARE573," &
	"IO_PAD302:BARE574," &
	"IO_PAD303:BARE575," &
	"IO_PAD304:BARE576," &
	"IO_PAD305:BARE577," &
	"IO_PAD306:BARE578," &
	"IO_PAD307:BARE579," &
	"IO_PAD308:BARE580," &
	"IO_PAD309:BARE581," &
	"IO_PAD310:BARE582," &
	"IO_PAD311:BARE583," &
	"IO_PAD312:BARE584," &
	"IO_PAD313:BARE585," &
	"IO_PAD314:BARE586," &
	"IO_PAD315:BARE587," &
	"IO_PAD316:BARE588," &
	"IO_PAD317:BARE589," &
	"IO_PAD318:BARE590," &
	"IO_PAD319:BARE591," &
	"IO_PAD320:BARE592," &
	"IO_PAD321:BARE593," &
	"IO_PAD322:BARE594," &
	"IO_PAD323:BARE595," &
	"IO_PAD324:BARE596," &
	"IO_PAD325:BARE597," &
	"IO_PAD326:BARE598," &
	"IO_PAD327:BARE599," &
	"IO_PAD328:BARE600," &
	"IO_PAD329:BARE601," &
	"IO_PAD330:BARE602," &
	"IO_PAD331:BARE603," &
	"IO_PAD332:BARE604," &
	"IO_PAD333:BARE605," &
	"IO_PAD334:BARE606," &
	"IO_PAD335:BARE607," &
	"IO_PAD336:BARE608," &
	"IO_PAD337:BARE609," &
	"IO_PAD338:BARE610," &
	"IO_PAD339:BARE611," &
	"IO_PAD340:BARE612," &
	"IO_PAD341:BARE613," &
	"IO_PAD342:BARE614," &
	"IO_PAD343:BARE615," &
	"IO_PAD344:BARE616," &
	"IO_PAD345:BARE617," &
	"IO_PAD346:BARE618," &
	"IO_PAD347:BARE619," &
	"IO_PAD348:BARE620," &
	"IO_PAD349:BARE621," &
	"IO_PAD350:BARE622," &
	"IO_PAD351:BARE623," &
	"IO_PAD352:BARE624," &
	"IO_PAD353:BARE625," &
	"IO_PAD354:BARE626," &
	"IO_PAD355:BARE627," &
	"IO_PAD356:BARE628," &
	"IO_PAD357:BARE629," &
	"IO_PAD358:BARE630," &
	"IO_PAD359:BARE631," &
	"IO_PAD360:BARE632," &
	"IO_PAD361:BARE633," &
	"IO_PAD362:BARE634," &
	"IO_PAD363:BARE635," &
	"IO_PAD364:BARE636," &
	"IO_PAD365:BARE637," &
	"IO_PAD366:BARE638," &
	"IO_PAD367:BARE639," &
	"IO_PAD368:BARE640," &
	"IO_PAD369:BARE641," &
	"IO_PAD370:BARE642," &
	"IO_PAD371:BARE643," &
	"IO_PAD372:BARE644," &
	"IO_PAD373:BARE645," &
	"IO_PAD374:BARE646," &
	"IO_PAD375:BARE647," &
	"IO_PAD376:BARE648," &
	"IO_PAD377:BARE649," &
	"IO_PAD378:BARE650," &
	"IO_PAD379:BARE651," &
	"IO_PAD380:BARE652," &
	"IO_PAD381:BARE653," &
	"IO_PAD382:BARE654," &
	"IO_PAD383:BARE655," &
	"IO_PAD384:BARE656," &
	"IO_PAD385:BARE657," &
	"IO_PAD386:BARE658," &
	"IO_PAD387:BARE659," &
	"IO_PAD388:BARE660," &
	"IO_PAD389:BARE661," &
	"IO_PAD390:BARE662," &
	"IO_PAD391:BARE663," &
	"IO_PAD392:BARE664," &
	"IO_PAD393:BARE665," &
	"IO_PAD394:BARE666," &
	"IO_PAD395:BARE667," &
	"IO_PAD396:BARE668," &
	"IO_PAD397:BARE669," &
	"IO_PAD398:BARE670," &
	"IO_PAD399:BARE671," &
	"IO_PAD400:BARE672," &
	"IO_PAD401:BARE673," &
	"IO_PAD402:BARE674," &
	"IO_PAD403:BARE675," &
	"IO_PAD404:BARE676," &
	"IO_PAD405:BARE677," &
	"IO_PAD406:BARE678," &
	"IO_PAD407:BARE679," &
	"IO_PAD408:BARE680," &
	"IO_PAD409:BARE681," &
	"IO_PAD410:BARE682," &
	"IO_PAD411:BARE683," &
	"IO_PAD412:BARE684," &
	"IO_PAD413:BARE685," &
	"IO_PAD414:BARE686," &
	"IO_PAD415:BARE687," &
	"IO_PAD416:BARE688," &
	"IO_PAD417:BARE689," &
	"IO_PAD418:BARE690," &
	"IO_PAD419:BARE691," &
	"IO_PAD420:BARE692," &
	"IO_PAD421:BARE693," &
	"IO_PAD422:BARE694," &
	"IO_PAD423:BARE695," &
	"IO_PAD424:BARE696," &
	"IO_PAD425:BARE697," &
	"IO_PAD426:BARE698," &
	"IO_PAD427:BARE699," &
	"IO_PAD428:BARE700," &
	"IO_PAD429:BARE701," &
	"IO_PAD430:BARE702," &
	"IO_PAD431:BARE703," &
	"IO_PAD432:BARE704," &
	"IO_PAD433:BARE705," &
	"IO_PAD434:BARE706," &
	"IO_PAD435:BARE707," &
	"IO_PAD436:BARE708," &
	"IO_PAD437:BARE709," &
	"IO_PAD438:BARE710," &
	"IO_PAD439:BARE711," &
	"IO_PAD440:BARE712," &
	"IO_PAD441:BARE713," &
	"IO_PAD442:BARE714," &
	"IO_PAD443:BARE715," &
	"IO_PAD444:BARE716," &
	"IO_PAD445:BARE717," &
	"IO_PAD446:BARE718," &
	"IO_PAD447:BARE719," &
	"IO_PAD448:BARE720," &
	"IO_PAD449:BARE721," &
	"IO_PAD450:BARE722," &
	"IO_PAD451:BARE723," &
	"IO_PAD452:BARE724," &
	"IO_PAD453:BARE725," &
	"IO_PAD454:BARE726," &
	"IO_PAD455:BARE727," &
	"IO_PAD456:BARE728," &
	"IO_PAD457:BARE729," &
	"IO_PAD458:BARE730," &
	"IO_PAD459:BARE731," &
	"IO_PAD460:BARE732," &
	"IO_PAD461:BARE733," &
	"IO_PAD462:BARE734," &
	"IO_PAD463:BARE735," &
	"IO_PAD464:BARE736," &
	"IO_PAD465:BARE737," &
	"IO_PAD466:BARE738," &
	"IO_PAD467:BARE739," &
	"IO_PAD468:BARE740," &
	"IO_PAD469:BARE741," &
	"IO_PAD470:BARE742," &
	"IO_PAD471:BARE743," &
	"IO_PAD472:BARE744," &
	"IO_PAD473:BARE745," &
	"IO_PAD474:BARE746," &
	"IO_PAD475:BARE747," &
	"IO_PAD476:BARE748," &
	"IO_PAD477:BARE749," &
	"IO_PAD478:BARE750," &
	"IO_PAD479:BARE751," &
	"IO_PAD480:BARE752," &
	"IO_PAD481:BARE753," &
	"IO_PAD482:BARE754," &
	"IO_PAD483:BARE755," &
	"IO_PAD484:BARE756," &
	"IO_PAD485:BARE757," &
	"IO_PAD486:BARE758," &
	"IO_PAD487:BARE759," &
	"IO_PAD488:BARE760," &
	"IO_PAD489:BARE761," &
	"IO_PAD490:BARE762," &
	"IO_PAD491:BARE763," &
	"IO_PAD492:BARE764," &
	"IO_PAD493:BARE765," &
	"IO_PAD494:BARE766," &
	"IO_PAD495:BARE767," &
	"IO_PAD496:BARE768," &
	"IO_PAD497:BARE769," &
	"IO_PAD498:BARE770," &
	"IO_PAD499:BARE771," &
	"IO_PAD500:BARE772," &
	"IO_PAD501:BARE773," &
	"IO_PAD502:BARE774," &
	"IO_PAD503:BARE775," &
	"IO_PAD504:BARE776," &
	"IO_PAD505:BARE777," &
	"IO_PAD506:BARE778," &
	"IO_PAD507:BARE779," &
	"IO_PAD508:BARE780," &
	"IO_PAD509:BARE781," &
	"IO_PAD510:BARE782," &
	"IO_PAD511:BARE783," &
	"IO_PAD512:BARE784," &
	"IO_PAD513:BARE785," &
	"IO_PAD514:BARE786," &
	"IO_PAD515:BARE787," &
	"IO_PAD516:BARE788," &
	"IO_PAD517:BARE789," &
	"IO_PAD518:BARE790," &
	"IO_PAD519:BARE791," &
	"IO_PAD520:BARE792," &
	"IO_PAD521:BARE793," &
	"IO_PAD522:BARE794," &
	"IO_PAD523:BARE795," &
	"IO_PAD524:BARE796," &
	"IO_PAD525:BARE797," &
	"IO_PAD526:BARE798," &
	"IO_PAD527:BARE799," &
	"IO_PAD528:BARE800," &
	"IO_PAD529:BARE801," &
	"IO_PAD530:BARE802," &
	"IO_PAD531:BARE803," &
	"IO_PAD532:BARE804," &
	"IO_PAD533:BARE805," &
	"IO_PAD534:BARE806," &
	"IO_PAD535:BARE807," &
	"IO_PAD536:BARE808," &
	"IO_PAD537:BARE809," &
	"IO_PAD538:BARE810," &
	"IO_PAD539:BARE811," &
	"IO_PAD540:BARE812," &
	"IO_PAD541:BARE813," &
	"IO_PAD542:BARE814," &
	"IO_PAD543:BARE815," &
	"IO_PAD544:BARE816," &
	"IO_PAD545:BARE817," &
	"IO_PAD546:BARE818," &
	"IO_PAD547:BARE819," &
	"IO_PAD548:BARE820," &
	"IO_PAD549:BARE821," &
	"IO_PAD550:BARE822," &
	"IO_PAD551:BARE823," &
	"IO_PAD552:BARE824," &
	"IO_PAD553:BARE825," &
	"IO_PAD554:BARE826," &
	"IO_PAD555:BARE827," &
	"IO_PAD556:BARE828," &
	"IO_PAD557:BARE829," &
	"IO_PAD558:BARE830," &
	"IO_PAD559:BARE831," &
	"IO_PAD560:BARE832," &
	"IO_PAD561:BARE833," &
	"IO_PAD562:BARE834," &
	"IO_PAD563:BARE835," &
	"IO_PAD564:BARE836," &
	"IO_PAD565:BARE837," &
	"IO_PAD566:BARE838," &
	"IO_PAD567:BARE839," &
	"IO_PAD568:BARE840," &
	"IO_PAD569:BARE841," &
	"IO_PAD570:BARE842," &
	"IO_PAD571:BARE843," &
	"IO_PAD572:BARE844," &
	"IO_PAD573:BARE845," &
	"IO_PAD574:BARE846," &
	"IO_PAD575:BARE847," &
	"IO_PAD576:BARE848";


attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);

attribute COMPLIANCE_PATTERNS of XQR4VFX60 : entity is
        "(PROG_B, PWRDWN_B) (11)";

attribute INSTRUCTION_LENGTH of XQR4VFX60 : entity is 14;

attribute INSTRUCTION_OPCODE of XQR4VFX60 : entity is
        "EXTEST    (11111111000000)," &
        "SAMPLE    (11111111000001)," &
        "USER1     (11111111000010)," & -- Not available until after configuration
        "USER2     (11111111000011)," & -- Not available until after configuration
        "USER3     (11111111100010)," & -- Not available until after configuration
        "USER4     (11111111100011)," & -- Not available until after configuration
        "CFG_OUT   (11111111000100)," & -- Not available during configuration with another mode.
        "CFG_IN    (11111111000101)," & -- Not available during configuration with another mode.
        "INTEST    (11111111000111)," &
        "USERCODE  (11111111001000)," &
        "IDCODE    (11111111001001)," &
        "HIGHZ     (11111111001010)," &
        "JPROGRAM  (11111111001011)," & -- Not available during configuration with another mode.
        "JSTART    (11111111001100)," & -- Not available during configuration with another mode.
        "JSHUTDOWN (11111111001101)," & -- Not available during configuration with another mode.
        "MONITOR1  (11111111001110)," &
        "MONITOR2  (11111111001111)," &
        "BYPASS    (11111111111111)," &
	"ISC_ENABLE           (11111111010000)," &
	"ISC_PROGRAM          (11111111010001)," &
	"ISC_PROGRAM_KEY (11111111010010)," &
	"ISC_NOOP             (11111111010100)," &
	"ISC_READ             (11111111010101)," &
	"ISC_DISABLE          (11111111010110)," &
	"PPC_BYPASS           (11111111100000)," &
	"PPC_DEBUG_1          (01010101100000)," &
	"PPC_DEBUG_2          (01110111100000)," &
	"PPC_DEBUG_3          (10011001100000)," &
	"PPC_DEBUG_4          (10101010100000)," &
	"PPC_DEBUG_5          (10111011100000)," &
	"PPC_DEBUG_6          (11001100100000)," &
	"PPC_DEBUG_7          (11011101100000)," &
	"PPC_DEBUG_8          (11101110100000)";

attribute INSTRUCTION_CAPTURE of XQR4VFX60 : entity is
-- Bit 5 is 1 when DONE is released (part of startup sequence)
-- Bit 4 is 1 if house-cleaning is complete
-- Bit 3 is ISC_Enabled
-- Bit 2 is ISC_Done
        "XXXXXXXXXXXX01";

attribute INSTRUCTION_PRIVATE of XQR4VFX60 : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
        "USER1," &
        "USER2," &
        "USER3," &
        "USER4," &
        "CFG_OUT," &
        "CFG_IN," &
        "JPROGRAM," &
        "JSTART," &
        "JSHUTDOWN," &
        "MONITOR1," &
        "MONITOR2," &
	"ISC_ENABLE," &
	"ISC_PROGRAM," &
	"ISC_PROGRAM_KEY," &
	"ISC_NOOP," &
	"ISC_READ," &
	"ISC_DISABLE," &
	"PPC_BYPASS," &
	"PPC_DEBUG_1," &
	"PPC_DEBUG_2," &
	"PPC_DEBUG_3," &
	"PPC_DEBUG_4," &
	"PPC_DEBUG_5," &
	"PPC_DEBUG_6," &
	"PPC_DEBUG_7," &
	"PPC_DEBUG_8";

attribute IDCODE_REGISTER of XQR4VFX60 : entity is
	"XXXX" &	-- version
	"0001111" &	-- family
	"010110100" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1


attribute USERCODE_REGISTER of XQR4VFX60 : entity is
        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

attribute REGISTER_ACCESS of XQR4VFX60 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
--	"<reg_name>[<length>] (USER3)," &
--	"<reg_name>[<length>] (USER4)," &
        "BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,INTEST,EXTEST)";

attribute BOUNDARY_LENGTH of XQR4VFX60 : entity is 1751;

attribute BOUNDARY_REGISTER of XQR4VFX60 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_1, *, internal, X)," &
	"   1 (BC_2, *, controlr, 1)," &
	"   2 (BC_2, IO_PAD576, output3, X, 1, 1, PULL0)," & --  PAD576
	"   3 (BC_2, IO_PAD576, input, X)," & --  PAD576
	"   4 (BC_2, *, controlr, 1)," &
	"   5 (BC_2, IO_PAD575, output3, X, 4, 1, PULL0)," & --  PAD575
	"   6 (BC_2, IO_PAD575, input, X)," & --  PAD575
	"   7 (BC_2, *, controlr, 1)," &
	"   8 (BC_2, IO_PAD574, output3, X, 7, 1, PULL0)," & --  PAD574
	"   9 (BC_2, IO_PAD574, input, X)," & --  PAD574
	"  10 (BC_2, *, controlr, 1)," &
	"  11 (BC_2, IO_PAD573, output3, X, 10, 1, PULL0)," & --  PAD573
	"  12 (BC_2, IO_PAD573, input, X)," & --  PAD573
	"  13 (BC_2, *, controlr, 1)," &
	"  14 (BC_2, IO_PAD572, output3, X, 13, 1, PULL0)," & --  PAD572
	"  15 (BC_2, IO_PAD572, input, X)," & --  PAD572
	"  16 (BC_2, *, controlr, 1)," &
	"  17 (BC_2, IO_PAD571, output3, X, 16, 1, PULL0)," & --  PAD571
	"  18 (BC_2, IO_PAD571, input, X)," & --  PAD571
	"  19 (BC_2, *, controlr, 1)," &
	"  20 (BC_2, IO_PAD570, output3, X, 19, 1, PULL0)," & --  PAD570
	"  21 (BC_2, IO_PAD570, input, X)," & --  PAD570
	"  22 (BC_2, *, controlr, 1)," &
	"  23 (BC_2, IO_PAD569, output3, X, 22, 1, PULL0)," & --  PAD569
	"  24 (BC_2, IO_PAD569, input, X)," & --  PAD569
	"  25 (BC_2, *, controlr, 1)," &
	"  26 (BC_2, IO_PAD568, output3, X, 25, 1, PULL0)," & --  PAD568
	"  27 (BC_2, IO_PAD568, input, X)," & --  PAD568
	"  28 (BC_2, *, controlr, 1)," &
	"  29 (BC_2, IO_PAD567, output3, X, 28, 1, PULL0)," & --  PAD567
	"  30 (BC_2, IO_PAD567, input, X)," & --  PAD567
	"  31 (BC_2, *, controlr, 1)," &
	"  32 (BC_2, IO_PAD566, output3, X, 31, 1, PULL0)," & --  PAD566
	"  33 (BC_2, IO_PAD566, input, X)," & --  PAD566
	"  34 (BC_2, *, controlr, 1)," &
	"  35 (BC_2, IO_PAD565, output3, X, 34, 1, PULL0)," & --  PAD565
	"  36 (BC_2, IO_PAD565, input, X)," & --  PAD565
	"  37 (BC_2, *, controlr, 1)," &
	"  38 (BC_2, IO_PAD564, output3, X, 37, 1, PULL0)," & --  PAD564
	"  39 (BC_2, IO_PAD564, input, X)," & --  PAD564
	"  40 (BC_2, *, controlr, 1)," &
	"  41 (BC_2, IO_PAD563, output3, X, 40, 1, PULL0)," & --  PAD563
	"  42 (BC_2, IO_PAD563, input, X)," & --  PAD563
	"  43 (BC_2, *, controlr, 1)," &
	"  44 (BC_2, IO_PAD562, output3, X, 43, 1, PULL0)," & --  PAD562
	"  45 (BC_2, IO_PAD562, input, X)," & --  PAD562
	"  46 (BC_2, *, controlr, 1)," &
	"  47 (BC_2, IO_PAD561, output3, X, 46, 1, PULL0)," & --  PAD561
	"  48 (BC_2, IO_PAD561, input, X)," & --  PAD561
	"  49 (BC_2, *, controlr, 1)," &
	"  50 (BC_2, IO_PAD560, output3, X, 49, 1, PULL0)," & --  PAD560
	"  51 (BC_2, IO_PAD560, input, X)," & --  PAD560
	"  52 (BC_2, *, controlr, 1)," &
	"  53 (BC_2, IO_PAD559, output3, X, 52, 1, PULL0)," & --  PAD559
	"  54 (BC_2, IO_PAD559, input, X)," & --  PAD559
	"  55 (BC_2, *, controlr, 1)," &
	"  56 (BC_2, IO_PAD558, output3, X, 55, 1, PULL0)," & --  PAD558
	"  57 (BC_2, IO_PAD558, input, X)," & --  PAD558
	"  58 (BC_2, *, controlr, 1)," &
	"  59 (BC_2, IO_PAD557, output3, X, 58, 1, PULL0)," & --  PAD557
	"  60 (BC_2, IO_PAD557, input, X)," & --  PAD557
	"  61 (BC_2, *, controlr, 1)," &
	"  62 (BC_2, IO_PAD556, output3, X, 61, 1, PULL0)," & --  PAD556
	"  63 (BC_2, IO_PAD556, input, X)," & --  PAD556
	"  64 (BC_2, *, controlr, 1)," &
	"  65 (BC_2, IO_PAD555, output3, X, 64, 1, PULL0)," & --  PAD555
	"  66 (BC_2, IO_PAD555, input, X)," & --  PAD555
	"  67 (BC_2, *, controlr, 1)," &
	"  68 (BC_2, IO_PAD554, output3, X, 67, 1, PULL0)," & --  PAD554
	"  69 (BC_2, IO_PAD554, input, X)," & --  PAD554
	"  70 (BC_2, *, controlr, 1)," &
	"  71 (BC_2, IO_PAD553, output3, X, 70, 1, PULL0)," & --  PAD553
	"  72 (BC_2, IO_PAD553, input, X)," & --  PAD553
	"  73 (BC_2, *, controlr, 1)," &
	"  74 (BC_2, IO_PAD552, output3, X, 73, 1, PULL0)," & --  PAD552
	"  75 (BC_2, IO_PAD552, input, X)," & --  PAD552
	"  76 (BC_2, *, controlr, 1)," &
	"  77 (BC_2, IO_PAD551, output3, X, 76, 1, PULL0)," & --  PAD551
	"  78 (BC_2, IO_PAD551, input, X)," & --  PAD551
	"  79 (BC_2, *, controlr, 1)," &
	"  80 (BC_2, IO_PAD550, output3, X, 79, 1, PULL0)," & --  PAD550
	"  81 (BC_2, IO_PAD550, input, X)," & --  PAD550
	"  82 (BC_2, *, controlr, 1)," &
	"  83 (BC_2, IO_PAD549, output3, X, 82, 1, PULL0)," & --  PAD549
	"  84 (BC_2, IO_PAD549, input, X)," & --  PAD549
	"  85 (BC_2, *, controlr, 1)," &
	"  86 (BC_2, IO_PAD548, output3, X, 85, 1, PULL0)," & --  PAD548
	"  87 (BC_2, IO_PAD548, input, X)," & --  PAD548
	"  88 (BC_2, *, controlr, 1)," &
	"  89 (BC_2, IO_PAD547, output3, X, 88, 1, PULL0)," & --  PAD547
	"  90 (BC_2, IO_PAD547, input, X)," & --  PAD547
	"  91 (BC_2, *, controlr, 1)," &
	"  92 (BC_2, IO_PAD546, output3, X, 91, 1, PULL0)," & --  PAD546
	"  93 (BC_2, IO_PAD546, input, X)," & --  PAD546
	"  94 (BC_2, *, controlr, 1)," &
	"  95 (BC_2, IO_PAD545, output3, X, 94, 1, PULL0)," & --  PAD545
	"  96 (BC_2, IO_PAD545, input, X)," & --  PAD545
	"  97 (BC_2, *, controlr, 1)," &
	"  98 (BC_2, IO_PAD544, output3, X, 97, 1, PULL0)," & --  PAD544
	"  99 (BC_2, IO_PAD544, input, X)," & --  PAD544
	" 100 (BC_2, *, controlr, 1)," &
	" 101 (BC_2, IO_PAD543, output3, X, 100, 1, PULL0)," & --  PAD543
	" 102 (BC_2, IO_PAD543, input, X)," & --  PAD543
	" 103 (BC_2, *, controlr, 1)," &
	" 104 (BC_2, IO_PAD542, output3, X, 103, 1, PULL0)," & --  PAD542
	" 105 (BC_2, IO_PAD542, input, X)," & --  PAD542
	" 106 (BC_2, *, controlr, 1)," &
	" 107 (BC_2, IO_PAD541, output3, X, 106, 1, PULL0)," & --  PAD541
	" 108 (BC_2, IO_PAD541, input, X)," & --  PAD541
	" 109 (BC_2, *, controlr, 1)," &
	" 110 (BC_2, IO_PAD540, output3, X, 109, 1, PULL0)," & --  PAD540
	" 111 (BC_2, IO_PAD540, input, X)," & --  PAD540
	" 112 (BC_2, *, controlr, 1)," &
	" 113 (BC_2, IO_PAD539, output3, X, 112, 1, PULL0)," & --  PAD539
	" 114 (BC_2, IO_PAD539, input, X)," & --  PAD539
	" 115 (BC_2, *, controlr, 1)," &
	" 116 (BC_2, IO_PAD538, output3, X, 115, 1, PULL0)," & --  PAD538
	" 117 (BC_2, IO_PAD538, input, X)," & --  PAD538
	" 118 (BC_2, *, controlr, 1)," &
	" 119 (BC_2, IO_PAD537, output3, X, 118, 1, PULL0)," & --  PAD537
	" 120 (BC_2, IO_PAD537, input, X)," & --  PAD537
	" 121 (BC_2, *, controlr, 1)," &
	" 122 (BC_2, IO_PAD536, output3, X, 121, 1, PULL0)," & --  PAD536
	" 123 (BC_2, IO_PAD536, input, X)," & --  PAD536
	" 124 (BC_2, *, controlr, 1)," &
	" 125 (BC_2, IO_PAD535, output3, X, 124, 1, PULL0)," & --  PAD535
	" 126 (BC_2, IO_PAD535, input, X)," & --  PAD535
	" 127 (BC_2, *, controlr, 1)," &
	" 128 (BC_2, IO_PAD534, output3, X, 127, 1, PULL0)," & --  PAD534
	" 129 (BC_2, IO_PAD534, input, X)," & --  PAD534
	" 130 (BC_2, *, controlr, 1)," &
	" 131 (BC_2, IO_PAD533, output3, X, 130, 1, PULL0)," & --  PAD533
	" 132 (BC_2, IO_PAD533, input, X)," & --  PAD533
	" 133 (BC_2, *, controlr, 1)," &
	" 134 (BC_2, IO_PAD532, output3, X, 133, 1, PULL0)," & --  PAD532
	" 135 (BC_2, IO_PAD532, input, X)," & --  PAD532
	" 136 (BC_2, *, controlr, 1)," &
	" 137 (BC_2, IO_PAD531, output3, X, 136, 1, PULL0)," & --  PAD531
	" 138 (BC_2, IO_PAD531, input, X)," & --  PAD531
	" 139 (BC_2, *, controlr, 1)," &
	" 140 (BC_2, IO_PAD530, output3, X, 139, 1, PULL0)," & --  PAD530
	" 141 (BC_2, IO_PAD530, input, X)," & --  PAD530
	" 142 (BC_2, *, controlr, 1)," &
	" 143 (BC_2, IO_PAD529, output3, X, 142, 1, PULL0)," & --  PAD529
	" 144 (BC_2, IO_PAD529, input, X)," & --  PAD529
	" 145 (BC_2, *, controlr, 1)," &
	" 146 (BC_2, IO_PAD528, output3, X, 145, 1, PULL0)," & --  PAD528
	" 147 (BC_2, IO_PAD528, input, X)," & --  PAD528
	" 148 (BC_2, *, controlr, 1)," &
	" 149 (BC_2, IO_PAD527, output3, X, 148, 1, PULL0)," & --  PAD527
	" 150 (BC_2, IO_PAD527, input, X)," & --  PAD527
	" 151 (BC_2, *, controlr, 1)," &
	" 152 (BC_2, IO_PAD526, output3, X, 151, 1, PULL0)," & --  PAD526
	" 153 (BC_2, IO_PAD526, input, X)," & --  PAD526
	" 154 (BC_2, *, controlr, 1)," &
	" 155 (BC_2, IO_PAD525, output3, X, 154, 1, PULL0)," & --  PAD525
	" 156 (BC_2, IO_PAD525, input, X)," & --  PAD525
	" 157 (BC_2, *, controlr, 1)," &
	" 158 (BC_2, IO_PAD524, output3, X, 157, 1, PULL0)," & --  PAD524
	" 159 (BC_2, IO_PAD524, input, X)," & --  PAD524
	" 160 (BC_2, *, controlr, 1)," &
	" 161 (BC_2, IO_PAD523, output3, X, 160, 1, PULL0)," & --  PAD523
	" 162 (BC_2, IO_PAD523, input, X)," & --  PAD523
	" 163 (BC_2, *, controlr, 1)," &
	" 164 (BC_2, IO_PAD522, output3, X, 163, 1, PULL0)," & --  PAD522
	" 165 (BC_2, IO_PAD522, input, X)," & --  PAD522
	" 166 (BC_2, *, controlr, 1)," &
	" 167 (BC_2, IO_PAD521, output3, X, 166, 1, PULL0)," & --  PAD521
	" 168 (BC_2, IO_PAD521, input, X)," & --  PAD521
	" 169 (BC_2, *, controlr, 1)," &
	" 170 (BC_2, IO_PAD520, output3, X, 169, 1, PULL0)," & --  PAD520
	" 171 (BC_2, IO_PAD520, input, X)," & --  PAD520
	" 172 (BC_2, *, controlr, 1)," &
	" 173 (BC_2, IO_PAD519, output3, X, 172, 1, PULL0)," & --  PAD519
	" 174 (BC_2, IO_PAD519, input, X)," & --  PAD519
	" 175 (BC_2, *, controlr, 1)," &
	" 176 (BC_2, IO_PAD518, output3, X, 175, 1, PULL0)," & --  PAD518
	" 177 (BC_2, IO_PAD518, input, X)," & --  PAD518
	" 178 (BC_2, *, controlr, 1)," &
	" 179 (BC_2, IO_PAD517, output3, X, 178, 1, PULL0)," & --  PAD517
	" 180 (BC_2, IO_PAD517, input, X)," & --  PAD517
	" 181 (BC_2, *, controlr, 1)," &
	" 182 (BC_2, IO_PAD516, output3, X, 181, 1, PULL0)," & --  PAD516
	" 183 (BC_2, IO_PAD516, input, X)," & --  PAD516
	" 184 (BC_2, *, controlr, 1)," &
	" 185 (BC_2, IO_PAD515, output3, X, 184, 1, PULL0)," & --  PAD515
	" 186 (BC_2, IO_PAD515, input, X)," & --  PAD515
	" 187 (BC_2, *, controlr, 1)," &
	" 188 (BC_2, IO_PAD514, output3, X, 187, 1, PULL0)," & --  PAD514
	" 189 (BC_2, IO_PAD514, input, X)," & --  PAD514
	" 190 (BC_2, *, controlr, 1)," &
	" 191 (BC_2, IO_PAD513, output3, X, 190, 1, PULL0)," & --  PAD513
	" 192 (BC_2, IO_PAD513, input, X)," & --  PAD513
	" 193 (BC_2, *, controlr, 1)," &
	" 194 (BC_2, IO_PAD512, output3, X, 193, 1, PULL0)," & --  PAD512
	" 195 (BC_2, IO_PAD512, input, X)," & --  PAD512
	" 196 (BC_2, *, controlr, 1)," &
	" 197 (BC_2, IO_PAD511, output3, X, 196, 1, PULL0)," & --  PAD511
	" 198 (BC_2, IO_PAD511, input, X)," & --  PAD511
	" 199 (BC_2, *, controlr, 1)," &
	" 200 (BC_2, IO_PAD510, output3, X, 199, 1, PULL0)," & --  PAD510
	" 201 (BC_2, IO_PAD510, input, X)," & --  PAD510
	" 202 (BC_2, *, controlr, 1)," &
	" 203 (BC_2, IO_PAD509, output3, X, 202, 1, PULL0)," & --  PAD509
	" 204 (BC_2, IO_PAD509, input, X)," & --  PAD509
	" 205 (BC_2, *, controlr, 1)," &
	" 206 (BC_2, IO_PAD508, output3, X, 205, 1, PULL0)," & --  PAD508
	" 207 (BC_2, IO_PAD508, input, X)," & --  PAD508
	" 208 (BC_2, *, controlr, 1)," &
	" 209 (BC_2, IO_PAD507, output3, X, 208, 1, PULL0)," & --  PAD507
	" 210 (BC_2, IO_PAD507, input, X)," & --  PAD507
	" 211 (BC_2, *, controlr, 1)," &
	" 212 (BC_2, IO_PAD506, output3, X, 211, 1, PULL0)," & --  PAD506
	" 213 (BC_2, IO_PAD506, input, X)," & --  PAD506
	" 214 (BC_2, *, controlr, 1)," &
	" 215 (BC_2, IO_PAD505, output3, X, 214, 1, PULL0)," & --  PAD505
	" 216 (BC_2, IO_PAD505, input, X)," & --  PAD505
	" 217 (BC_2, *, controlr, 1)," &
	" 218 (BC_2, IO_PAD504, output3, X, 217, 1, PULL0)," & --  PAD504
	" 219 (BC_2, IO_PAD504, input, X)," & --  PAD504
	" 220 (BC_2, *, controlr, 1)," &
	" 221 (BC_2, IO_PAD503, output3, X, 220, 1, PULL0)," & --  PAD503
	" 222 (BC_2, IO_PAD503, input, X)," & --  PAD503
	" 223 (BC_2, *, controlr, 1)," &
	" 224 (BC_2, IO_PAD502, output3, X, 223, 1, PULL0)," & --  PAD502
	" 225 (BC_2, IO_PAD502, input, X)," & --  PAD502
	" 226 (BC_2, *, controlr, 1)," &
	" 227 (BC_2, IO_PAD501, output3, X, 226, 1, PULL0)," & --  PAD501
	" 228 (BC_2, IO_PAD501, input, X)," & --  PAD501
	" 229 (BC_2, *, controlr, 1)," &
	" 230 (BC_2, IO_PAD500, output3, X, 229, 1, PULL0)," & --  PAD500
	" 231 (BC_2, IO_PAD500, input, X)," & --  PAD500
	" 232 (BC_2, *, controlr, 1)," &
	" 233 (BC_2, IO_PAD499, output3, X, 232, 1, PULL0)," & --  PAD499
	" 234 (BC_2, IO_PAD499, input, X)," & --  PAD499
	" 235 (BC_2, *, controlr, 1)," &
	" 236 (BC_2, IO_PAD498, output3, X, 235, 1, PULL0)," & --  PAD498
	" 237 (BC_2, IO_PAD498, input, X)," & --  PAD498
	" 238 (BC_2, *, controlr, 1)," &
	" 239 (BC_2, IO_PAD497, output3, X, 238, 1, PULL0)," & --  PAD497
	" 240 (BC_2, IO_PAD497, input, X)," & --  PAD497
	" 241 (BC_2, *, controlr, 1)," &
	" 242 (BC_2, IO_PAD496, output3, X, 241, 1, PULL0)," & --  PAD496
	" 243 (BC_2, IO_PAD496, input, X)," & --  PAD496
	" 244 (BC_2, *, controlr, 1)," &
	" 245 (BC_2, IO_PAD495, output3, X, 244, 1, PULL0)," & --  PAD495
	" 246 (BC_2, IO_PAD495, input, X)," & --  PAD495
	" 247 (BC_2, *, controlr, 1)," &
	" 248 (BC_2, IO_PAD494, output3, X, 247, 1, PULL0)," & --  PAD494
	" 249 (BC_2, IO_PAD494, input, X)," & --  PAD494
	" 250 (BC_2, *, controlr, 1)," &
	" 251 (BC_2, IO_PAD493, output3, X, 250, 1, PULL0)," & --  PAD493
	" 252 (BC_2, IO_PAD493, input, X)," & --  PAD493
	" 253 (BC_2, *, controlr, 1)," &
	" 254 (BC_2, IO_PAD492, output3, X, 253, 1, PULL0)," & --  PAD492
	" 255 (BC_2, IO_PAD492, input, X)," & --  PAD492
	" 256 (BC_2, *, controlr, 1)," &
	" 257 (BC_2, IO_PAD491, output3, X, 256, 1, PULL0)," & --  PAD491
	" 258 (BC_2, IO_PAD491, input, X)," & --  PAD491
	" 259 (BC_2, *, controlr, 1)," &
	" 260 (BC_2, IO_PAD490, output3, X, 259, 1, PULL0)," & --  PAD490
	" 261 (BC_2, IO_PAD490, input, X)," & --  PAD490
	" 262 (BC_2, *, controlr, 1)," &
	" 263 (BC_2, IO_PAD489, output3, X, 262, 1, PULL0)," & --  PAD489
	" 264 (BC_2, IO_PAD489, input, X)," & --  PAD489
	" 265 (BC_2, *, controlr, 1)," &
	" 266 (BC_2, IO_PAD488, output3, X, 265, 1, PULL0)," & --  PAD488
	" 267 (BC_2, IO_PAD488, input, X)," & --  PAD488
	" 268 (BC_2, *, controlr, 1)," &
	" 269 (BC_2, IO_PAD487, output3, X, 268, 1, PULL0)," & --  PAD487
	" 270 (BC_2, IO_PAD487, input, X)," & --  PAD487
	" 271 (BC_2, *, controlr, 1)," &
	" 272 (BC_2, IO_PAD486, output3, X, 271, 1, PULL0)," & --  PAD486
	" 273 (BC_2, IO_PAD486, input, X)," & --  PAD486
	" 274 (BC_2, *, controlr, 1)," &
	" 275 (BC_2, IO_PAD485, output3, X, 274, 1, PULL0)," & --  PAD485
	" 276 (BC_2, IO_PAD485, input, X)," & --  PAD485
	" 277 (BC_2, *, controlr, 1)," &
	" 278 (BC_2, IO_PAD484, output3, X, 277, 1, PULL0)," & --  PAD484
	" 279 (BC_2, IO_PAD484, input, X)," & --  PAD484
	" 280 (BC_2, *, controlr, 1)," &
	" 281 (BC_2, IO_PAD483, output3, X, 280, 1, PULL0)," & --  PAD483
	" 282 (BC_2, IO_PAD483, input, X)," & --  PAD483
	" 283 (BC_2, *, controlr, 1)," &
	" 284 (BC_2, IO_PAD482, output3, X, 283, 1, PULL0)," & --  PAD482
	" 285 (BC_2, IO_PAD482, input, X)," & --  PAD482
	" 286 (BC_2, *, controlr, 1)," &
	" 287 (BC_2, IO_PAD481, output3, X, 286, 1, PULL0)," & --  PAD481
	" 288 (BC_2, IO_PAD481, input, X)," & --  PAD481
	" 289 (BC_2, *, controlr, 1)," &
	" 290 (BC_2, IO_PAD480, output3, X, 289, 1, PULL0)," & --  PAD480
	" 291 (BC_2, IO_PAD480, input, X)," & --  PAD480
	" 292 (BC_2, *, controlr, 1)," &
	" 293 (BC_2, IO_PAD479, output3, X, 292, 1, PULL0)," & --  PAD479
	" 294 (BC_2, IO_PAD479, input, X)," & --  PAD479
	" 295 (BC_2, *, controlr, 1)," &
	" 296 (BC_2, IO_PAD478, output3, X, 295, 1, PULL0)," & --  PAD478
	" 297 (BC_2, IO_PAD478, input, X)," & --  PAD478
	" 298 (BC_2, *, controlr, 1)," &
	" 299 (BC_2, IO_PAD477, output3, X, 298, 1, PULL0)," & --  PAD477
	" 300 (BC_2, IO_PAD477, input, X)," & --  PAD477
	" 301 (BC_2, *, controlr, 1)," &
	" 302 (BC_2, IO_PAD476, output3, X, 301, 1, PULL0)," & --  PAD476
	" 303 (BC_2, IO_PAD476, input, X)," & --  PAD476
	" 304 (BC_2, *, controlr, 1)," &
	" 305 (BC_2, IO_PAD475, output3, X, 304, 1, PULL0)," & --  PAD475
	" 306 (BC_2, IO_PAD475, input, X)," & --  PAD475
	" 307 (BC_2, *, controlr, 1)," &
	" 308 (BC_2, IO_PAD474, output3, X, 307, 1, PULL0)," & --  PAD474
	" 309 (BC_2, IO_PAD474, input, X)," & --  PAD474
	" 310 (BC_2, *, controlr, 1)," &
	" 311 (BC_2, IO_PAD473, output3, X, 310, 1, PULL0)," & --  PAD473
	" 312 (BC_2, IO_PAD473, input, X)," & --  PAD473
	" 313 (BC_2, *, controlr, 1)," &
	" 314 (BC_2, IO_PAD472, output3, X, 313, 1, PULL0)," & --  PAD472
	" 315 (BC_2, IO_PAD472, input, X)," & --  PAD472
	" 316 (BC_2, *, controlr, 1)," &
	" 317 (BC_2, IO_PAD471, output3, X, 316, 1, PULL0)," & --  PAD471
	" 318 (BC_2, IO_PAD471, input, X)," & --  PAD471
	" 319 (BC_2, *, controlr, 1)," &
	" 320 (BC_2, IO_PAD470, output3, X, 319, 1, PULL0)," & --  PAD470
	" 321 (BC_2, IO_PAD470, input, X)," & --  PAD470
	" 322 (BC_2, *, controlr, 1)," &
	" 323 (BC_2, IO_PAD469, output3, X, 322, 1, PULL0)," & --  PAD469
	" 324 (BC_2, IO_PAD469, input, X)," & --  PAD469
	" 325 (BC_2, *, controlr, 1)," &
	" 326 (BC_2, IO_PAD468, output3, X, 325, 1, PULL0)," & --  PAD468
	" 327 (BC_2, IO_PAD468, input, X)," & --  PAD468
	" 328 (BC_2, *, controlr, 1)," &
	" 329 (BC_2, IO_PAD467, output3, X, 328, 1, PULL0)," & --  PAD467
	" 330 (BC_2, IO_PAD467, input, X)," & --  PAD467
	" 331 (BC_2, *, controlr, 1)," &
	" 332 (BC_2, IO_PAD466, output3, X, 331, 1, PULL0)," & --  PAD466
	" 333 (BC_2, IO_PAD466, input, X)," & --  PAD466
	" 334 (BC_2, *, controlr, 1)," &
	" 335 (BC_2, IO_PAD465, output3, X, 334, 1, PULL0)," & --  PAD465
	" 336 (BC_2, IO_PAD465, input, X)," & --  PAD465
	" 337 (BC_2, *, controlr, 1)," &
	" 338 (BC_2, IO_PAD464, output3, X, 337, 1, PULL0)," & --  PAD464
	" 339 (BC_2, IO_PAD464, input, X)," & --  PAD464
	" 340 (BC_2, *, controlr, 1)," &
	" 341 (BC_2, IO_PAD463, output3, X, 340, 1, PULL0)," & --  PAD463
	" 342 (BC_2, IO_PAD463, input, X)," & --  PAD463
	" 343 (BC_2, *, controlr, 1)," &
	" 344 (BC_2, IO_PAD462, output3, X, 343, 1, PULL0)," & --  PAD462
	" 345 (BC_2, IO_PAD462, input, X)," & --  PAD462
	" 346 (BC_2, *, controlr, 1)," &
	" 347 (BC_2, IO_PAD461, output3, X, 346, 1, PULL0)," & --  PAD461
	" 348 (BC_2, IO_PAD461, input, X)," & --  PAD461
	" 349 (BC_2, *, controlr, 1)," &
	" 350 (BC_2, IO_PAD460, output3, X, 349, 1, PULL0)," & --  PAD460
	" 351 (BC_2, IO_PAD460, input, X)," & --  PAD460
	" 352 (BC_2, *, controlr, 1)," &
	" 353 (BC_2, IO_PAD459, output3, X, 352, 1, PULL0)," & --  PAD459
	" 354 (BC_2, IO_PAD459, input, X)," & --  PAD459
	" 355 (BC_2, *, controlr, 1)," &
	" 356 (BC_2, IO_PAD458, output3, X, 355, 1, PULL0)," & --  PAD458
	" 357 (BC_2, IO_PAD458, input, X)," & --  PAD458
	" 358 (BC_2, *, controlr, 1)," &
	" 359 (BC_2, IO_PAD457, output3, X, 358, 1, PULL0)," & --  PAD457
	" 360 (BC_2, IO_PAD457, input, X)," & --  PAD457
	" 361 (BC_2, *, controlr, 1)," &
	" 362 (BC_2, IO_PAD456, output3, X, 361, 1, PULL0)," & --  PAD456
	" 363 (BC_2, IO_PAD456, input, X)," & --  PAD456
	" 364 (BC_2, *, controlr, 1)," &
	" 365 (BC_2, IO_PAD455, output3, X, 364, 1, PULL0)," & --  PAD455
	" 366 (BC_2, IO_PAD455, input, X)," & --  PAD455
	" 367 (BC_2, *, controlr, 1)," &
	" 368 (BC_2, IO_PAD454, output3, X, 367, 1, PULL0)," & --  PAD454
	" 369 (BC_2, IO_PAD454, input, X)," & --  PAD454
	" 370 (BC_2, *, controlr, 1)," &
	" 371 (BC_2, IO_PAD453, output3, X, 370, 1, PULL0)," & --  PAD453
	" 372 (BC_2, IO_PAD453, input, X)," & --  PAD453
	" 373 (BC_2, *, controlr, 1)," &
	" 374 (BC_2, IO_PAD452, output3, X, 373, 1, PULL0)," & --  PAD452
	" 375 (BC_2, IO_PAD452, input, X)," & --  PAD452
	" 376 (BC_2, *, controlr, 1)," &
	" 377 (BC_2, IO_PAD451, output3, X, 376, 1, PULL0)," & --  PAD451
	" 378 (BC_2, IO_PAD451, input, X)," & --  PAD451
	" 379 (BC_2, *, controlr, 1)," &
	" 380 (BC_2, IO_PAD450, output3, X, 379, 1, PULL0)," & --  PAD450
	" 381 (BC_2, IO_PAD450, input, X)," & --  PAD450
	" 382 (BC_2, *, controlr, 1)," &
	" 383 (BC_2, IO_PAD449, output3, X, 382, 1, PULL0)," & --  PAD449
	" 384 (BC_2, IO_PAD449, input, X)," & --  PAD449
	" 385 (BC_2, *, controlr, 1)," &
	" 386 (BC_2, IO_PAD448, output3, X, 385, 1, PULL0)," & --  PAD448
	" 387 (BC_2, IO_PAD448, input, X)," & --  PAD448
	" 388 (BC_2, *, controlr, 1)," &
	" 389 (BC_2, IO_PAD447, output3, X, 388, 1, PULL0)," & --  PAD447
	" 390 (BC_2, IO_PAD447, input, X)," & --  PAD447
	" 391 (BC_2, *, controlr, 1)," &
	" 392 (BC_2, IO_PAD446, output3, X, 391, 1, PULL0)," & --  PAD446
	" 393 (BC_2, IO_PAD446, input, X)," & --  PAD446
	" 394 (BC_2, *, controlr, 1)," &
	" 395 (BC_2, IO_PAD445, output3, X, 394, 1, PULL0)," & --  PAD445
	" 396 (BC_2, IO_PAD445, input, X)," & --  PAD445
	" 397 (BC_2, *, controlr, 1)," &
	" 398 (BC_2, IO_PAD444, output3, X, 397, 1, PULL0)," & --  PAD444
	" 399 (BC_2, IO_PAD444, input, X)," & --  PAD444
	" 400 (BC_2, *, controlr, 1)," &
	" 401 (BC_2, IO_PAD443, output3, X, 400, 1, PULL0)," & --  PAD443
	" 402 (BC_2, IO_PAD443, input, X)," & --  PAD443
	" 403 (BC_2, *, controlr, 1)," &
	" 404 (BC_2, IO_PAD442, output3, X, 403, 1, PULL0)," & --  PAD442
	" 405 (BC_2, IO_PAD442, input, X)," & --  PAD442
	" 406 (BC_2, *, controlr, 1)," &
	" 407 (BC_2, IO_PAD441, output3, X, 406, 1, PULL0)," & --  PAD441
	" 408 (BC_2, IO_PAD441, input, X)," & --  PAD441
	" 409 (BC_2, *, controlr, 1)," &
	" 410 (BC_2, IO_PAD440, output3, X, 409, 1, PULL0)," & --  PAD440
	" 411 (BC_2, IO_PAD440, input, X)," & --  PAD440
	" 412 (BC_2, *, controlr, 1)," &
	" 413 (BC_2, IO_PAD439, output3, X, 412, 1, PULL0)," & --  PAD439
	" 414 (BC_2, IO_PAD439, input, X)," & --  PAD439
	" 415 (BC_2, *, controlr, 1)," &
	" 416 (BC_2, IO_PAD438, output3, X, 415, 1, PULL0)," & --  PAD438
	" 417 (BC_2, IO_PAD438, input, X)," & --  PAD438
	" 418 (BC_2, *, controlr, 1)," &
	" 419 (BC_2, IO_PAD437, output3, X, 418, 1, PULL0)," & --  PAD437
	" 420 (BC_2, IO_PAD437, input, X)," & --  PAD437
	" 421 (BC_2, *, controlr, 1)," &
	" 422 (BC_2, IO_PAD436, output3, X, 421, 1, PULL0)," & --  PAD436
	" 423 (BC_2, IO_PAD436, input, X)," & --  PAD436
	" 424 (BC_2, *, controlr, 1)," &
	" 425 (BC_2, IO_PAD435, output3, X, 424, 1, PULL0)," & --  PAD435
	" 426 (BC_2, IO_PAD435, input, X)," & --  PAD435
	" 427 (BC_2, *, controlr, 1)," &
	" 428 (BC_2, IO_PAD434, output3, X, 427, 1, PULL0)," & --  PAD434
	" 429 (BC_2, IO_PAD434, input, X)," & --  PAD434
	" 430 (BC_2, *, controlr, 1)," &
	" 431 (BC_2, IO_PAD433, output3, X, 430, 1, PULL0)," & --  PAD433
	" 432 (BC_2, IO_PAD433, input, X)," & --  PAD433
	" 433 (BC_2, *, controlr, 1)," &
	" 434 (BC_2, IO_PAD432, output3, X, 433, 1, PULL0)," & --  PAD432
	" 435 (BC_2, IO_PAD432, input, X)," & --  PAD432
	" 436 (BC_2, *, controlr, 1)," &
	" 437 (BC_2, IO_PAD431, output3, X, 436, 1, PULL0)," & --  PAD431
	" 438 (BC_2, IO_PAD431, input, X)," & --  PAD431
	" 439 (BC_2, *, controlr, 1)," &
	" 440 (BC_2, IO_PAD430, output3, X, 439, 1, PULL0)," & --  PAD430
	" 441 (BC_2, IO_PAD430, input, X)," & --  PAD430
	" 442 (BC_2, *, controlr, 1)," &
	" 443 (BC_2, IO_PAD429, output3, X, 442, 1, PULL0)," & --  PAD429
	" 444 (BC_2, IO_PAD429, input, X)," & --  PAD429
	" 445 (BC_2, *, controlr, 1)," &
	" 446 (BC_2, IO_PAD428, output3, X, 445, 1, PULL0)," & --  PAD428
	" 447 (BC_2, IO_PAD428, input, X)," & --  PAD428
	" 448 (BC_2, *, controlr, 1)," &
	" 449 (BC_2, IO_PAD427, output3, X, 448, 1, PULL0)," & --  PAD427
	" 450 (BC_2, IO_PAD427, input, X)," & --  PAD427
	" 451 (BC_2, *, controlr, 1)," &
	" 452 (BC_2, IO_PAD426, output3, X, 451, 1, PULL0)," & --  PAD426
	" 453 (BC_2, IO_PAD426, input, X)," & --  PAD426
	" 454 (BC_2, *, controlr, 1)," &
	" 455 (BC_2, IO_PAD425, output3, X, 454, 1, PULL0)," & --  PAD425
	" 456 (BC_2, IO_PAD425, input, X)," & --  PAD425
	" 457 (BC_2, *, controlr, 1)," &
	" 458 (BC_2, IO_PAD424, output3, X, 457, 1, PULL0)," & --  PAD424
	" 459 (BC_2, IO_PAD424, input, X)," & --  PAD424
	" 460 (BC_2, *, controlr, 1)," &
	" 461 (BC_2, IO_PAD423, output3, X, 460, 1, PULL0)," & --  PAD423
	" 462 (BC_2, IO_PAD423, input, X)," & --  PAD423
	" 463 (BC_2, *, controlr, 1)," &
	" 464 (BC_2, IO_PAD422, output3, X, 463, 1, PULL0)," & --  PAD422
	" 465 (BC_2, IO_PAD422, input, X)," & --  PAD422
	" 466 (BC_2, *, controlr, 1)," &
	" 467 (BC_2, IO_PAD421, output3, X, 466, 1, PULL0)," & --  PAD421
	" 468 (BC_2, IO_PAD421, input, X)," & --  PAD421
	" 469 (BC_2, *, controlr, 1)," &
	" 470 (BC_2, IO_PAD420, output3, X, 469, 1, PULL0)," & --  PAD420
	" 471 (BC_2, IO_PAD420, input, X)," & --  PAD420
	" 472 (BC_2, *, controlr, 1)," &
	" 473 (BC_2, IO_PAD419, output3, X, 472, 1, PULL0)," & --  PAD419
	" 474 (BC_2, IO_PAD419, input, X)," & --  PAD419
	" 475 (BC_2, *, controlr, 1)," &
	" 476 (BC_2, IO_PAD418, output3, X, 475, 1, PULL0)," & --  PAD418
	" 477 (BC_2, IO_PAD418, input, X)," & --  PAD418
	" 478 (BC_2, *, controlr, 1)," &
	" 479 (BC_2, IO_PAD417, output3, X, 478, 1, PULL0)," & --  PAD417
	" 480 (BC_2, IO_PAD417, input, X)," & --  PAD417
	" 481 (BC_2, *, controlr, 1)," &
	" 482 (BC_2, IO_PAD416, output3, X, 481, 1, PULL0)," & --  PAD416
	" 483 (BC_2, IO_PAD416, input, X)," & --  PAD416
	" 484 (BC_2, *, controlr, 1)," &
	" 485 (BC_2, IO_PAD415, output3, X, 484, 1, PULL0)," & --  PAD415
	" 486 (BC_2, IO_PAD415, input, X)," & --  PAD415
	" 487 (BC_2, *, controlr, 1)," &
	" 488 (BC_2, IO_PAD414, output3, X, 487, 1, PULL0)," & --  PAD414
	" 489 (BC_2, IO_PAD414, input, X)," & --  PAD414
	" 490 (BC_2, *, controlr, 1)," &
	" 491 (BC_2, IO_PAD413, output3, X, 490, 1, PULL0)," & --  PAD413
	" 492 (BC_2, IO_PAD413, input, X)," & --  PAD413
	" 493 (BC_2, *, controlr, 1)," &
	" 494 (BC_2, IO_PAD412, output3, X, 493, 1, PULL0)," & --  PAD412
	" 495 (BC_2, IO_PAD412, input, X)," & --  PAD412
	" 496 (BC_2, *, controlr, 1)," &
	" 497 (BC_2, IO_PAD411, output3, X, 496, 1, PULL0)," & --  PAD411
	" 498 (BC_2, IO_PAD411, input, X)," & --  PAD411
	" 499 (BC_2, *, controlr, 1)," &
	" 500 (BC_2, IO_PAD410, output3, X, 499, 1, PULL0)," & --  PAD410
	" 501 (BC_2, IO_PAD410, input, X)," & --  PAD410
	" 502 (BC_2, *, controlr, 1)," &
	" 503 (BC_2, IO_PAD409, output3, X, 502, 1, PULL0)," & --  PAD409
	" 504 (BC_2, IO_PAD409, input, X)," & --  PAD409
	" 505 (BC_2, *, controlr, 1)," &
	" 506 (BC_2, IO_PAD408, output3, X, 505, 1, PULL0)," & --  PAD408
	" 507 (BC_2, IO_PAD408, input, X)," & --  PAD408
	" 508 (BC_2, *, controlr, 1)," &
	" 509 (BC_2, IO_PAD407, output3, X, 508, 1, PULL0)," & --  PAD407
	" 510 (BC_2, IO_PAD407, input, X)," & --  PAD407
	" 511 (BC_2, *, controlr, 1)," &
	" 512 (BC_2, IO_PAD406, output3, X, 511, 1, PULL0)," & --  PAD406
	" 513 (BC_2, IO_PAD406, input, X)," & --  PAD406
	" 514 (BC_2, *, controlr, 1)," &
	" 515 (BC_2, IO_PAD405, output3, X, 514, 1, PULL0)," & --  PAD405
	" 516 (BC_2, IO_PAD405, input, X)," & --  PAD405
	" 517 (BC_2, *, controlr, 1)," &
	" 518 (BC_2, IO_PAD404, output3, X, 517, 1, PULL0)," & --  PAD404
	" 519 (BC_2, IO_PAD404, input, X)," & --  PAD404
	" 520 (BC_2, *, controlr, 1)," &
	" 521 (BC_2, IO_PAD403, output3, X, 520, 1, PULL0)," & --  PAD403
	" 522 (BC_2, IO_PAD403, input, X)," & --  PAD403
	" 523 (BC_2, *, controlr, 1)," &
	" 524 (BC_2, IO_PAD402, output3, X, 523, 1, PULL0)," & --  PAD402
	" 525 (BC_2, IO_PAD402, input, X)," & --  PAD402
	" 526 (BC_2, *, controlr, 1)," &
	" 527 (BC_2, IO_PAD401, output3, X, 526, 1, PULL0)," & --  PAD401
	" 528 (BC_2, IO_PAD401, input, X)," & --  PAD401
	" 529 (BC_2, *, controlr, 1)," &
	" 530 (BC_2, IO_PAD400, output3, X, 529, 1, PULL0)," & --  PAD400
	" 531 (BC_2, IO_PAD400, input, X)," & --  PAD400
	" 532 (BC_2, *, controlr, 1)," &
	" 533 (BC_2, IO_PAD399, output3, X, 532, 1, PULL0)," & --  PAD399
	" 534 (BC_2, IO_PAD399, input, X)," & --  PAD399
	" 535 (BC_2, *, controlr, 1)," &
	" 536 (BC_2, IO_PAD398, output3, X, 535, 1, PULL0)," & --  PAD398
	" 537 (BC_2, IO_PAD398, input, X)," & --  PAD398
	" 538 (BC_2, *, controlr, 1)," &
	" 539 (BC_2, IO_PAD397, output3, X, 538, 1, PULL0)," & --  PAD397
	" 540 (BC_2, IO_PAD397, input, X)," & --  PAD397
	" 541 (BC_2, *, controlr, 1)," &
	" 542 (BC_2, IO_PAD396, output3, X, 541, 1, PULL0)," & --  PAD396
	" 543 (BC_2, IO_PAD396, input, X)," & --  PAD396
	" 544 (BC_2, *, controlr, 1)," &
	" 545 (BC_2, IO_PAD395, output3, X, 544, 1, PULL0)," & --  PAD395
	" 546 (BC_2, IO_PAD395, input, X)," & --  PAD395
	" 547 (BC_2, *, controlr, 1)," &
	" 548 (BC_2, IO_PAD394, output3, X, 547, 1, PULL0)," & --  PAD394
	" 549 (BC_2, IO_PAD394, input, X)," & --  PAD394
	" 550 (BC_2, *, controlr, 1)," &
	" 551 (BC_2, IO_PAD393, output3, X, 550, 1, PULL0)," & --  PAD393
	" 552 (BC_2, IO_PAD393, input, X)," & --  PAD393
	" 553 (BC_2, *, controlr, 1)," &
	" 554 (BC_2, IO_PAD392, output3, X, 553, 1, PULL0)," & --  PAD392
	" 555 (BC_2, IO_PAD392, input, X)," & --  PAD392
	" 556 (BC_2, *, controlr, 1)," &
	" 557 (BC_2, IO_PAD391, output3, X, 556, 1, PULL0)," & --  PAD391
	" 558 (BC_2, IO_PAD391, input, X)," & --  PAD391
	" 559 (BC_2, *, controlr, 1)," &
	" 560 (BC_2, IO_PAD390, output3, X, 559, 1, PULL0)," & --  PAD390
	" 561 (BC_2, IO_PAD390, input, X)," & --  PAD390
	" 562 (BC_2, *, controlr, 1)," &
	" 563 (BC_2, IO_PAD389, output3, X, 562, 1, PULL0)," & --  PAD389
	" 564 (BC_2, IO_PAD389, input, X)," & --  PAD389
	" 565 (BC_2, *, controlr, 1)," &
	" 566 (BC_2, IO_PAD388, output3, X, 565, 1, PULL0)," & --  PAD388
	" 567 (BC_2, IO_PAD388, input, X)," & --  PAD388
	" 568 (BC_2, *, controlr, 1)," &
	" 569 (BC_2, IO_PAD387, output3, X, 568, 1, PULL0)," & --  PAD387
	" 570 (BC_2, IO_PAD387, input, X)," & --  PAD387
	" 571 (BC_2, *, controlr, 1)," &
	" 572 (BC_2, IO_PAD386, output3, X, 571, 1, PULL0)," & --  PAD386
	" 573 (BC_2, IO_PAD386, input, X)," & --  PAD386
	" 574 (BC_2, *, controlr, 1)," &
	" 575 (BC_2, IO_PAD385, output3, X, 574, 1, PULL0)," & --  PAD385
	" 576 (BC_2, IO_PAD385, input, X)," & --  PAD385
	" 577 (BC_2, *, controlr, 1)," &
	" 578 (BC_2, IO_PAD384, output3, X, 577, 1, PULL0)," & --  PAD384
	" 579 (BC_2, IO_PAD384, input, X)," & --  PAD384
	" 580 (BC_2, *, controlr, 1)," &
	" 581 (BC_2, IO_PAD383, output3, X, 580, 1, PULL0)," & --  PAD383
	" 582 (BC_2, IO_PAD383, input, X)," & --  PAD383
	" 583 (BC_2, *, controlr, 1)," &
	" 584 (BC_2, IO_PAD382, output3, X, 583, 1, PULL0)," & --  PAD382
	" 585 (BC_2, IO_PAD382, input, X)," & --  PAD382
	" 586 (BC_2, *, controlr, 1)," &
	" 587 (BC_2, IO_PAD381, output3, X, 586, 1, PULL0)," & --  PAD381
	" 588 (BC_2, IO_PAD381, input, X)," & --  PAD381
	" 589 (BC_2, *, controlr, 1)," &
	" 590 (BC_2, IO_PAD380, output3, X, 589, 1, PULL0)," & --  PAD380
	" 591 (BC_2, IO_PAD380, input, X)," & --  PAD380
	" 592 (BC_2, *, controlr, 1)," &
	" 593 (BC_2, IO_PAD379, output3, X, 592, 1, PULL0)," & --  PAD379
	" 594 (BC_2, IO_PAD379, input, X)," & --  PAD379
	" 595 (BC_2, *, controlr, 1)," &
	" 596 (BC_2, IO_PAD378, output3, X, 595, 1, PULL0)," & --  PAD378
	" 597 (BC_2, IO_PAD378, input, X)," & --  PAD378
	" 598 (BC_2, *, controlr, 1)," &
	" 599 (BC_2, IO_PAD377, output3, X, 598, 1, PULL0)," & --  PAD377
	" 600 (BC_2, IO_PAD377, input, X)," & --  PAD377
	" 601 (BC_2, *, controlr, 1)," &
	" 602 (BC_2, IO_PAD376, output3, X, 601, 1, PULL0)," & --  PAD376
	" 603 (BC_2, IO_PAD376, input, X)," & --  PAD376
	" 604 (BC_2, *, controlr, 1)," &
	" 605 (BC_2, IO_PAD375, output3, X, 604, 1, PULL0)," & --  PAD375
	" 606 (BC_2, IO_PAD375, input, X)," & --  PAD375
	" 607 (BC_2, *, controlr, 1)," &
	" 608 (BC_2, IO_PAD374, output3, X, 607, 1, PULL0)," & --  PAD374
	" 609 (BC_2, IO_PAD374, input, X)," & --  PAD374
	" 610 (BC_2, *, controlr, 1)," &
	" 611 (BC_2, IO_PAD373, output3, X, 610, 1, PULL0)," & --  PAD373
	" 612 (BC_2, IO_PAD373, input, X)," & --  PAD373
	" 613 (BC_2, *, controlr, 1)," &
	" 614 (BC_2, IO_PAD372, output3, X, 613, 1, PULL0)," & --  PAD372
	" 615 (BC_2, IO_PAD372, input, X)," & --  PAD372
	" 616 (BC_2, *, controlr, 1)," &
	" 617 (BC_2, IO_PAD371, output3, X, 616, 1, PULL0)," & --  PAD371
	" 618 (BC_2, IO_PAD371, input, X)," & --  PAD371
	" 619 (BC_2, *, controlr, 1)," &
	" 620 (BC_2, IO_PAD370, output3, X, 619, 1, PULL0)," & --  PAD370
	" 621 (BC_2, IO_PAD370, input, X)," & --  PAD370
	" 622 (BC_2, *, controlr, 1)," &
	" 623 (BC_2, IO_PAD369, output3, X, 622, 1, PULL0)," & --  PAD369
	" 624 (BC_2, IO_PAD369, input, X)," & --  PAD369
	" 625 (BC_2, *, controlr, 1)," &
	" 626 (BC_2, IO_PAD368, output3, X, 625, 1, PULL0)," & --  PAD368
	" 627 (BC_2, IO_PAD368, input, X)," & --  PAD368
	" 628 (BC_2, *, controlr, 1)," &
	" 629 (BC_2, IO_PAD367, output3, X, 628, 1, PULL0)," & --  PAD367
	" 630 (BC_2, IO_PAD367, input, X)," & --  PAD367
	" 631 (BC_2, *, controlr, 1)," &
	" 632 (BC_2, IO_PAD366, output3, X, 631, 1, PULL0)," & --  PAD366
	" 633 (BC_2, IO_PAD366, input, X)," & --  PAD366
	" 634 (BC_2, *, controlr, 1)," &
	" 635 (BC_2, IO_PAD365, output3, X, 634, 1, PULL0)," & --  PAD365
	" 636 (BC_2, IO_PAD365, input, X)," & --  PAD365
	" 637 (BC_2, *, controlr, 1)," &
	" 638 (BC_2, IO_PAD364, output3, X, 637, 1, PULL0)," & --  PAD364
	" 639 (BC_2, IO_PAD364, input, X)," & --  PAD364
	" 640 (BC_2, *, controlr, 1)," &
	" 641 (BC_2, IO_PAD363, output3, X, 640, 1, PULL0)," & --  PAD363
	" 642 (BC_2, IO_PAD363, input, X)," & --  PAD363
	" 643 (BC_2, *, controlr, 1)," &
	" 644 (BC_2, IO_PAD362, output3, X, 643, 1, PULL0)," & --  PAD362
	" 645 (BC_2, IO_PAD362, input, X)," & --  PAD362
	" 646 (BC_2, *, controlr, 1)," &
	" 647 (BC_2, IO_PAD361, output3, X, 646, 1, PULL0)," & --  PAD361
	" 648 (BC_2, IO_PAD361, input, X)," & --  PAD361
	" 649 (BC_2, *, controlr, 1)," &
	" 650 (BC_2, IO_PAD360, output3, X, 649, 1, PULL0)," & --  PAD360
	" 651 (BC_2, IO_PAD360, input, X)," & --  PAD360
	" 652 (BC_2, *, controlr, 1)," &
	" 653 (BC_2, IO_PAD359, output3, X, 652, 1, PULL0)," & --  PAD359
	" 654 (BC_2, IO_PAD359, input, X)," & --  PAD359
	" 655 (BC_2, *, controlr, 1)," &
	" 656 (BC_2, IO_PAD358, output3, X, 655, 1, PULL0)," & --  PAD358
	" 657 (BC_2, IO_PAD358, input, X)," & --  PAD358
	" 658 (BC_2, *, controlr, 1)," &
	" 659 (BC_2, IO_PAD357, output3, X, 658, 1, PULL0)," & --  PAD357
	" 660 (BC_2, IO_PAD357, input, X)," & --  PAD357
	" 661 (BC_2, *, controlr, 1)," &
	" 662 (BC_2, IO_PAD356, output3, X, 661, 1, PULL0)," & --  PAD356
	" 663 (BC_2, IO_PAD356, input, X)," & --  PAD356
	" 664 (BC_2, *, controlr, 1)," &
	" 665 (BC_2, IO_PAD355, output3, X, 664, 1, PULL0)," & --  PAD355
	" 666 (BC_2, IO_PAD355, input, X)," & --  PAD355
	" 667 (BC_2, *, controlr, 1)," &
	" 668 (BC_2, IO_PAD354, output3, X, 667, 1, PULL0)," & --  PAD354
	" 669 (BC_2, IO_PAD354, input, X)," & --  PAD354
	" 670 (BC_2, *, controlr, 1)," &
	" 671 (BC_2, IO_PAD353, output3, X, 670, 1, PULL0)," & --  PAD353
	" 672 (BC_2, IO_PAD353, input, X)," & --  PAD353
	" 673 (BC_2, *, controlr, 1)," &
	" 674 (BC_2, IO_PAD352, output3, X, 673, 1, PULL0)," & --  PAD352
	" 675 (BC_2, IO_PAD352, input, X)," & --  PAD352
	" 676 (BC_2, *, controlr, 1)," &
	" 677 (BC_2, IO_PAD351, output3, X, 676, 1, PULL0)," & --  PAD351
	" 678 (BC_2, IO_PAD351, input, X)," & --  PAD351
	" 679 (BC_2, *, controlr, 1)," &
	" 680 (BC_2, IO_PAD350, output3, X, 679, 1, PULL0)," & --  PAD350
	" 681 (BC_2, IO_PAD350, input, X)," & --  PAD350
	" 682 (BC_2, *, controlr, 1)," &
	" 683 (BC_2, IO_PAD349, output3, X, 682, 1, PULL0)," & --  PAD349
	" 684 (BC_2, IO_PAD349, input, X)," & --  PAD349
	" 685 (BC_2, *, controlr, 1)," &
	" 686 (BC_2, IO_PAD348, output3, X, 685, 1, PULL0)," & --  PAD348
	" 687 (BC_2, IO_PAD348, input, X)," & --  PAD348
	" 688 (BC_2, *, controlr, 1)," &
	" 689 (BC_2, IO_PAD347, output3, X, 688, 1, PULL0)," & --  PAD347
	" 690 (BC_2, IO_PAD347, input, X)," & --  PAD347
	" 691 (BC_2, *, controlr, 1)," &
	" 692 (BC_2, IO_PAD346, output3, X, 691, 1, PULL0)," & --  PAD346
	" 693 (BC_2, IO_PAD346, input, X)," & --  PAD346
	" 694 (BC_2, *, controlr, 1)," &
	" 695 (BC_2, IO_PAD345, output3, X, 694, 1, PULL0)," & --  PAD345
	" 696 (BC_2, IO_PAD345, input, X)," & --  PAD345
	" 697 (BC_2, *, controlr, 1)," &
	" 698 (BC_2, IO_PAD344, output3, X, 697, 1, PULL0)," & --  PAD344
	" 699 (BC_2, IO_PAD344, input, X)," & --  PAD344
	" 700 (BC_2, *, controlr, 1)," &
	" 701 (BC_2, IO_PAD343, output3, X, 700, 1, PULL0)," & --  PAD343
	" 702 (BC_2, IO_PAD343, input, X)," & --  PAD343
	" 703 (BC_2, *, controlr, 1)," &
	" 704 (BC_2, IO_PAD342, output3, X, 703, 1, PULL0)," & --  PAD342
	" 705 (BC_2, IO_PAD342, input, X)," & --  PAD342
	" 706 (BC_2, *, controlr, 1)," &
	" 707 (BC_2, IO_PAD341, output3, X, 706, 1, PULL0)," & --  PAD341
	" 708 (BC_2, IO_PAD341, input, X)," & --  PAD341
	" 709 (BC_2, *, controlr, 1)," &
	" 710 (BC_2, IO_PAD340, output3, X, 709, 1, PULL0)," & --  PAD340
	" 711 (BC_2, IO_PAD340, input, X)," & --  PAD340
	" 712 (BC_2, *, controlr, 1)," &
	" 713 (BC_2, IO_PAD339, output3, X, 712, 1, PULL0)," & --  PAD339
	" 714 (BC_2, IO_PAD339, input, X)," & --  PAD339
	" 715 (BC_2, *, controlr, 1)," &
	" 716 (BC_2, IO_PAD338, output3, X, 715, 1, PULL0)," & --  PAD338
	" 717 (BC_2, IO_PAD338, input, X)," & --  PAD338
	" 718 (BC_2, *, controlr, 1)," &
	" 719 (BC_2, IO_PAD337, output3, X, 718, 1, PULL0)," & --  PAD337
	" 720 (BC_2, IO_PAD337, input, X)," & --  PAD337
	" 721 (BC_2, *, controlr, 1)," &
	" 722 (BC_2, IO_PAD336, output3, X, 721, 1, PULL0)," & --  PAD336
	" 723 (BC_2, IO_PAD336, input, X)," & --  PAD336
	" 724 (BC_2, *, controlr, 1)," &
	" 725 (BC_2, IO_PAD335, output3, X, 724, 1, PULL0)," & --  PAD335
	" 726 (BC_2, IO_PAD335, input, X)," & --  PAD335
	" 727 (BC_2, *, controlr, 1)," &
	" 728 (BC_2, IO_PAD334, output3, X, 727, 1, PULL0)," & --  PAD334
	" 729 (BC_2, IO_PAD334, input, X)," & --  PAD334
	" 730 (BC_2, *, controlr, 1)," &
	" 731 (BC_2, IO_PAD333, output3, X, 730, 1, PULL0)," & --  PAD333
	" 732 (BC_2, IO_PAD333, input, X)," & --  PAD333
	" 733 (BC_2, *, controlr, 1)," &
	" 734 (BC_2, IO_PAD332, output3, X, 733, 1, PULL0)," & --  PAD332
	" 735 (BC_2, IO_PAD332, input, X)," & --  PAD332
	" 736 (BC_2, *, controlr, 1)," &
	" 737 (BC_2, IO_PAD331, output3, X, 736, 1, PULL0)," & --  PAD331
	" 738 (BC_2, IO_PAD331, input, X)," & --  PAD331
	" 739 (BC_2, *, controlr, 1)," &
	" 740 (BC_2, IO_PAD330, output3, X, 739, 1, PULL0)," & --  PAD330
	" 741 (BC_2, IO_PAD330, input, X)," & --  PAD330
	" 742 (BC_2, *, controlr, 1)," &
	" 743 (BC_2, IO_PAD329, output3, X, 742, 1, PULL0)," & --  PAD329
	" 744 (BC_2, IO_PAD329, input, X)," & --  PAD329
	" 745 (BC_2, *, controlr, 1)," &
	" 746 (BC_2, IO_PAD328, output3, X, 745, 1, PULL0)," & --  PAD328
	" 747 (BC_2, IO_PAD328, input, X)," & --  PAD328
	" 748 (BC_2, *, controlr, 1)," &
	" 749 (BC_2, IO_PAD327, output3, X, 748, 1, PULL0)," & --  PAD327
	" 750 (BC_2, IO_PAD327, input, X)," & --  PAD327
	" 751 (BC_2, *, controlr, 1)," &
	" 752 (BC_2, IO_PAD326, output3, X, 751, 1, PULL0)," & --  PAD326
	" 753 (BC_2, IO_PAD326, input, X)," & --  PAD326
	" 754 (BC_2, *, controlr, 1)," &
	" 755 (BC_2, IO_PAD325, output3, X, 754, 1, PULL0)," & --  PAD325
	" 756 (BC_2, IO_PAD325, input, X)," & --  PAD325
	" 757 (BC_2, *, controlr, 1)," &
	" 758 (BC_2, IO_PAD324, output3, X, 757, 1, PULL0)," & --  PAD324
	" 759 (BC_2, IO_PAD324, input, X)," & --  PAD324
	" 760 (BC_2, *, controlr, 1)," &
	" 761 (BC_2, IO_PAD323, output3, X, 760, 1, PULL0)," & --  PAD323
	" 762 (BC_2, IO_PAD323, input, X)," & --  PAD323
	" 763 (BC_2, *, controlr, 1)," &
	" 764 (BC_2, IO_PAD322, output3, X, 763, 1, PULL0)," & --  PAD322
	" 765 (BC_2, IO_PAD322, input, X)," & --  PAD322
	" 766 (BC_2, *, controlr, 1)," &
	" 767 (BC_2, IO_PAD321, output3, X, 766, 1, PULL0)," & --  PAD321
	" 768 (BC_2, IO_PAD321, input, X)," & --  PAD321
	" 769 (BC_2, *, controlr, 1)," &
	" 770 (BC_2, IO_PAD320, output3, X, 769, 1, PULL0)," & --  PAD320
	" 771 (BC_2, IO_PAD320, input, X)," & --  PAD320
	" 772 (BC_2, *, controlr, 1)," &
	" 773 (BC_2, IO_PAD319, output3, X, 772, 1, PULL0)," & --  PAD319
	" 774 (BC_2, IO_PAD319, input, X)," & --  PAD319
	" 775 (BC_2, *, controlr, 1)," &
	" 776 (BC_2, IO_PAD318, output3, X, 775, 1, PULL0)," & --  PAD318
	" 777 (BC_2, IO_PAD318, input, X)," & --  PAD318
	" 778 (BC_2, *, controlr, 1)," &
	" 779 (BC_2, IO_PAD317, output3, X, 778, 1, PULL0)," & --  PAD317
	" 780 (BC_2, IO_PAD317, input, X)," & --  PAD317
	" 781 (BC_2, *, controlr, 1)," &
	" 782 (BC_2, IO_PAD316, output3, X, 781, 1, PULL0)," & --  PAD316
	" 783 (BC_2, IO_PAD316, input, X)," & --  PAD316
	" 784 (BC_2, *, controlr, 1)," &
	" 785 (BC_2, IO_PAD315, output3, X, 784, 1, PULL0)," & --  PAD315
	" 786 (BC_2, IO_PAD315, input, X)," & --  PAD315
	" 787 (BC_2, *, controlr, 1)," &
	" 788 (BC_2, IO_PAD314, output3, X, 787, 1, PULL0)," & --  PAD314
	" 789 (BC_2, IO_PAD314, input, X)," & --  PAD314
	" 790 (BC_2, *, controlr, 1)," &
	" 791 (BC_2, IO_PAD313, output3, X, 790, 1, PULL0)," & --  PAD313
	" 792 (BC_2, IO_PAD313, input, X)," & --  PAD313
	" 793 (BC_2, *, controlr, 1)," &
	" 794 (BC_2, IO_PAD312, output3, X, 793, 1, PULL0)," & --  PAD312
	" 795 (BC_2, IO_PAD312, input, X)," & --  PAD312
	" 796 (BC_2, *, controlr, 1)," &
	" 797 (BC_2, IO_PAD311, output3, X, 796, 1, PULL0)," & --  PAD311
	" 798 (BC_2, IO_PAD311, input, X)," & --  PAD311
	" 799 (BC_2, *, controlr, 1)," &
	" 800 (BC_2, IO_PAD310, output3, X, 799, 1, PULL0)," & --  PAD310
	" 801 (BC_2, IO_PAD310, input, X)," & --  PAD310
	" 802 (BC_2, *, controlr, 1)," &
	" 803 (BC_2, IO_PAD309, output3, X, 802, 1, PULL0)," & --  PAD309
	" 804 (BC_2, IO_PAD309, input, X)," & --  PAD309
	" 805 (BC_2, *, controlr, 1)," &
	" 806 (BC_2, IO_PAD308, output3, X, 805, 1, PULL0)," & --  PAD308
	" 807 (BC_2, IO_PAD308, input, X)," & --  PAD308
	" 808 (BC_2, *, controlr, 1)," &
	" 809 (BC_2, IO_PAD307, output3, X, 808, 1, PULL0)," & --  PAD307
	" 810 (BC_2, IO_PAD307, input, X)," & --  PAD307
	" 811 (BC_2, *, controlr, 1)," &
	" 812 (BC_2, IO_PAD306, output3, X, 811, 1, PULL0)," & --  PAD306
	" 813 (BC_2, IO_PAD306, input, X)," & --  PAD306
	" 814 (BC_2, *, controlr, 1)," &
	" 815 (BC_2, IO_PAD305, output3, X, 814, 1, PULL0)," & --  PAD305
	" 816 (BC_2, IO_PAD305, input, X)," & --  PAD305
	" 817 (BC_2, *, controlr, 1)," &
	" 818 (BC_2, IO_PAD304, output3, X, 817, 1, PULL0)," & --  PAD304
	" 819 (BC_2, IO_PAD304, input, X)," & --  PAD304
	" 820 (BC_2, *, controlr, 1)," &
	" 821 (BC_2, IO_PAD303, output3, X, 820, 1, PULL0)," & --  PAD303
	" 822 (BC_2, IO_PAD303, input, X)," & --  PAD303
	" 823 (BC_2, *, controlr, 1)," &
	" 824 (BC_2, IO_PAD302, output3, X, 823, 1, PULL0)," & --  PAD302
	" 825 (BC_2, IO_PAD302, input, X)," & --  PAD302
	" 826 (BC_2, *, controlr, 1)," &
	" 827 (BC_2, IO_PAD301, output3, X, 826, 1, PULL0)," & --  PAD301
	" 828 (BC_2, IO_PAD301, input, X)," & --  PAD301
	" 829 (BC_2, *, controlr, 1)," &
	" 830 (BC_2, IO_PAD300, output3, X, 829, 1, PULL0)," & --  PAD300
	" 831 (BC_2, IO_PAD300, input, X)," & --  PAD300
	" 832 (BC_2, *, controlr, 1)," &
	" 833 (BC_2, IO_PAD299, output3, X, 832, 1, PULL0)," & --  PAD299
	" 834 (BC_2, IO_PAD299, input, X)," & --  PAD299
	" 835 (BC_2, *, controlr, 1)," &
	" 836 (BC_2, IO_PAD298, output3, X, 835, 1, PULL0)," & --  PAD298
	" 837 (BC_2, IO_PAD298, input, X)," & --  PAD298
	" 838 (BC_2, *, controlr, 1)," &
	" 839 (BC_2, IO_PAD297, output3, X, 838, 1, PULL0)," & --  PAD297
	" 840 (BC_2, IO_PAD297, input, X)," & --  PAD297
	" 841 (BC_2, *, controlr, 1)," &
	" 842 (BC_2, IO_PAD296, output3, X, 841, 1, PULL0)," & --  PAD296
	" 843 (BC_2, IO_PAD296, input, X)," & --  PAD296
	" 844 (BC_2, *, controlr, 1)," &
	" 845 (BC_2, IO_PAD295, output3, X, 844, 1, PULL0)," & --  PAD295
	" 846 (BC_2, IO_PAD295, input, X)," & --  PAD295
	" 847 (BC_2, *, controlr, 1)," &
	" 848 (BC_2, IO_PAD294, output3, X, 847, 1, PULL0)," & --  PAD294
	" 849 (BC_2, IO_PAD294, input, X)," & --  PAD294
	" 850 (BC_2, *, controlr, 1)," &
	" 851 (BC_2, IO_PAD293, output3, X, 850, 1, PULL0)," & --  PAD293
	" 852 (BC_2, IO_PAD293, input, X)," & --  PAD293
	" 853 (BC_2, *, controlr, 1)," &
	" 854 (BC_2, IO_PAD292, output3, X, 853, 1, PULL0)," & --  PAD292
	" 855 (BC_2, IO_PAD292, input, X)," & --  PAD292
	" 856 (BC_2, *, controlr, 1)," &
	" 857 (BC_2, IO_PAD291, output3, X, 856, 1, PULL0)," & --  PAD291
	" 858 (BC_2, IO_PAD291, input, X)," & --  PAD291
	" 859 (BC_2, *, controlr, 1)," &
	" 860 (BC_2, IO_PAD290, output3, X, 859, 1, PULL0)," & --  PAD290
	" 861 (BC_2, IO_PAD290, input, X)," & --  PAD290
	" 862 (BC_2, *, controlr, 1)," &
	" 863 (BC_2, IO_PAD289, output3, X, 862, 1, PULL0)," & --  PAD289
	" 864 (BC_2, IO_PAD289, input, X)," & --  PAD289
	" 865 (BC_2, M0_0, input, X)," &
	" 866 (BC_2, M1_0, input, X)," &
	" 867 (BC_2, M2_0, input, X)," &
	" 868 (BC_2, *, controlr, 1)," &
	" 869 (BC_2, DOUT_BUSY_0, output3, X, 868, 1, PULL1)," &
	" 870 (BC_2, *, internal, 1)," & --  PWRDWN_B
	" 871 (BC_2, *, internal, 1)," & --  PWRDWN_B
	" 872 (BC_2, *, internal, 1)," & --  PWRDWN_B
	" 873 (BC_2, RDWR_B_0, input, X)," &
	" 874 (BC_2, CS_B_0, input, X)," &
	" 875 (BC_2, D_IN_0, input, X)," &
	" 876 (BC_2, *, controlr, 1)," &
	" 877 (BC_2, DONE_0, output3, X, 876, 1, PULL1)," &
	" 878 (BC_2, DONE_0, input, X)," &
	" 879 (BC_2, *, controlr, 1)," &
	" 880 (BC_2, CCLK_0, output3, X, 879, 1, PULL1)," &
	" 881 (BC_2, CCLK_0, input, X)," &
	" 882 (BC_2, *, controlr, 1)," &
	" 883 (BC_2, INIT_0, output3, X, 882, 1, PULL1)," &
	" 884 (BC_2, INIT_0, input, X)," &
	" 885 (BC_2, *, internal, 1)," & --  PROG_B
	" 886 (BC_2, HSWAP_EN_0, input, 1)," &
	" 887 (BC_2, *, controlr, 1)," &
	" 888 (BC_2, IO_PAD288, output3, X, 887, 1, PULL0)," & --  PAD288
	" 889 (BC_2, IO_PAD288, input, X)," & --  PAD288
	" 890 (BC_2, *, controlr, 1)," &
	" 891 (BC_2, IO_PAD287, output3, X, 890, 1, PULL0)," & --  PAD287
	" 892 (BC_2, IO_PAD287, input, X)," & --  PAD287
	" 893 (BC_2, *, controlr, 1)," &
	" 894 (BC_2, IO_PAD286, output3, X, 893, 1, PULL0)," & --  PAD286
	" 895 (BC_2, IO_PAD286, input, X)," & --  PAD286
	" 896 (BC_2, *, controlr, 1)," &
	" 897 (BC_2, IO_PAD285, output3, X, 896, 1, PULL0)," & --  PAD285
	" 898 (BC_2, IO_PAD285, input, X)," & --  PAD285
	" 899 (BC_2, *, controlr, 1)," &
	" 900 (BC_2, IO_PAD284, output3, X, 899, 1, PULL0)," & --  PAD284
	" 901 (BC_2, IO_PAD284, input, X)," & --  PAD284
	" 902 (BC_2, *, controlr, 1)," &
	" 903 (BC_2, IO_PAD283, output3, X, 902, 1, PULL0)," & --  PAD283
	" 904 (BC_2, IO_PAD283, input, X)," & --  PAD283
	" 905 (BC_2, *, controlr, 1)," &
	" 906 (BC_2, IO_PAD282, output3, X, 905, 1, PULL0)," & --  PAD282
	" 907 (BC_2, IO_PAD282, input, X)," & --  PAD282
	" 908 (BC_2, *, controlr, 1)," &
	" 909 (BC_2, IO_PAD281, output3, X, 908, 1, PULL0)," & --  PAD281
	" 910 (BC_2, IO_PAD281, input, X)," & --  PAD281
	" 911 (BC_2, *, controlr, 1)," &
	" 912 (BC_2, IO_PAD280, output3, X, 911, 1, PULL0)," & --  PAD280
	" 913 (BC_2, IO_PAD280, input, X)," & --  PAD280
	" 914 (BC_2, *, controlr, 1)," &
	" 915 (BC_2, IO_PAD279, output3, X, 914, 1, PULL0)," & --  PAD279
	" 916 (BC_2, IO_PAD279, input, X)," & --  PAD279
	" 917 (BC_2, *, controlr, 1)," &
	" 918 (BC_2, IO_PAD278, output3, X, 917, 1, PULL0)," & --  PAD278
	" 919 (BC_2, IO_PAD278, input, X)," & --  PAD278
	" 920 (BC_2, *, controlr, 1)," &
	" 921 (BC_2, IO_PAD277, output3, X, 920, 1, PULL0)," & --  PAD277
	" 922 (BC_2, IO_PAD277, input, X)," & --  PAD277
	" 923 (BC_2, *, controlr, 1)," &
	" 924 (BC_2, IO_PAD276, output3, X, 923, 1, PULL0)," & --  PAD276
	" 925 (BC_2, IO_PAD276, input, X)," & --  PAD276
	" 926 (BC_2, *, controlr, 1)," &
	" 927 (BC_2, IO_PAD275, output3, X, 926, 1, PULL0)," & --  PAD275
	" 928 (BC_2, IO_PAD275, input, X)," & --  PAD275
	" 929 (BC_2, *, controlr, 1)," &
	" 930 (BC_2, IO_PAD274, output3, X, 929, 1, PULL0)," & --  PAD274
	" 931 (BC_2, IO_PAD274, input, X)," & --  PAD274
	" 932 (BC_2, *, controlr, 1)," &
	" 933 (BC_2, IO_PAD273, output3, X, 932, 1, PULL0)," & --  PAD273
	" 934 (BC_2, IO_PAD273, input, X)," & --  PAD273
	" 935 (BC_2, *, controlr, 1)," &
	" 936 (BC_2, IO_PAD272, output3, X, 935, 1, PULL0)," & --  PAD272
	" 937 (BC_2, IO_PAD272, input, X)," & --  PAD272
	" 938 (BC_2, *, controlr, 1)," &
	" 939 (BC_2, IO_PAD271, output3, X, 938, 1, PULL0)," & --  PAD271
	" 940 (BC_2, IO_PAD271, input, X)," & --  PAD271
	" 941 (BC_2, *, controlr, 1)," &
	" 942 (BC_2, IO_PAD270, output3, X, 941, 1, PULL0)," & --  PAD270
	" 943 (BC_2, IO_PAD270, input, X)," & --  PAD270
	" 944 (BC_2, *, controlr, 1)," &
	" 945 (BC_2, IO_PAD269, output3, X, 944, 1, PULL0)," & --  PAD269
	" 946 (BC_2, IO_PAD269, input, X)," & --  PAD269
	" 947 (BC_2, *, controlr, 1)," &
	" 948 (BC_2, IO_PAD268, output3, X, 947, 1, PULL0)," & --  PAD268
	" 949 (BC_2, IO_PAD268, input, X)," & --  PAD268
	" 950 (BC_2, *, controlr, 1)," &
	" 951 (BC_2, IO_PAD267, output3, X, 950, 1, PULL0)," & --  PAD267
	" 952 (BC_2, IO_PAD267, input, X)," & --  PAD267
	" 953 (BC_2, *, controlr, 1)," &
	" 954 (BC_2, IO_PAD266, output3, X, 953, 1, PULL0)," & --  PAD266
	" 955 (BC_2, IO_PAD266, input, X)," & --  PAD266
	" 956 (BC_2, *, controlr, 1)," &
	" 957 (BC_2, IO_PAD265, output3, X, 956, 1, PULL0)," & --  PAD265
	" 958 (BC_2, IO_PAD265, input, X)," & --  PAD265
	" 959 (BC_2, *, controlr, 1)," &
	" 960 (BC_2, IO_PAD264, output3, X, 959, 1, PULL0)," & --  PAD264
	" 961 (BC_2, IO_PAD264, input, X)," & --  PAD264
	" 962 (BC_2, *, controlr, 1)," &
	" 963 (BC_2, IO_PAD263, output3, X, 962, 1, PULL0)," & --  PAD263
	" 964 (BC_2, IO_PAD263, input, X)," & --  PAD263
	" 965 (BC_2, *, controlr, 1)," &
	" 966 (BC_2, IO_PAD262, output3, X, 965, 1, PULL0)," & --  PAD262
	" 967 (BC_2, IO_PAD262, input, X)," & --  PAD262
	" 968 (BC_2, *, controlr, 1)," &
	" 969 (BC_2, IO_PAD261, output3, X, 968, 1, PULL0)," & --  PAD261
	" 970 (BC_2, IO_PAD261, input, X)," & --  PAD261
	" 971 (BC_2, *, controlr, 1)," &
	" 972 (BC_2, IO_PAD260, output3, X, 971, 1, PULL0)," & --  PAD260
	" 973 (BC_2, IO_PAD260, input, X)," & --  PAD260
	" 974 (BC_2, *, controlr, 1)," &
	" 975 (BC_2, IO_PAD259, output3, X, 974, 1, PULL0)," & --  PAD259
	" 976 (BC_2, IO_PAD259, input, X)," & --  PAD259
	" 977 (BC_2, *, controlr, 1)," &
	" 978 (BC_2, IO_PAD258, output3, X, 977, 1, PULL0)," & --  PAD258
	" 979 (BC_2, IO_PAD258, input, X)," & --  PAD258
	" 980 (BC_2, *, controlr, 1)," &
	" 981 (BC_2, IO_PAD257, output3, X, 980, 1, PULL0)," & --  PAD257
	" 982 (BC_2, IO_PAD257, input, X)," & --  PAD257
	" 983 (BC_2, *, controlr, 1)," &
	" 984 (BC_2, IO_PAD256, output3, X, 983, 1, PULL0)," & --  PAD256
	" 985 (BC_2, IO_PAD256, input, X)," & --  PAD256
	" 986 (BC_2, *, controlr, 1)," &
	" 987 (BC_2, IO_PAD255, output3, X, 986, 1, PULL0)," & --  PAD255
	" 988 (BC_2, IO_PAD255, input, X)," & --  PAD255
	" 989 (BC_2, *, controlr, 1)," &
	" 990 (BC_2, IO_PAD254, output3, X, 989, 1, PULL0)," & --  PAD254
	" 991 (BC_2, IO_PAD254, input, X)," & --  PAD254
	" 992 (BC_2, *, controlr, 1)," &
	" 993 (BC_2, IO_PAD253, output3, X, 992, 1, PULL0)," & --  PAD253
	" 994 (BC_2, IO_PAD253, input, X)," & --  PAD253
	" 995 (BC_2, *, controlr, 1)," &
	" 996 (BC_2, IO_PAD252, output3, X, 995, 1, PULL0)," & --  PAD252
	" 997 (BC_2, IO_PAD252, input, X)," & --  PAD252
	" 998 (BC_2, *, controlr, 1)," &
	" 999 (BC_2, IO_PAD251, output3, X, 998, 1, PULL0)," & --  PAD251
	"1000 (BC_2, IO_PAD251, input, X)," & --  PAD251
	"1001 (BC_2, *, controlr, 1)," &
	"1002 (BC_2, IO_PAD250, output3, X, 1001, 1, PULL0)," & --  PAD250
	"1003 (BC_2, IO_PAD250, input, X)," & --  PAD250
	"1004 (BC_2, *, controlr, 1)," &
	"1005 (BC_2, IO_PAD249, output3, X, 1004, 1, PULL0)," & --  PAD249
	"1006 (BC_2, IO_PAD249, input, X)," & --  PAD249
	"1007 (BC_2, *, controlr, 1)," &
	"1008 (BC_2, IO_PAD248, output3, X, 1007, 1, PULL0)," & --  PAD248
	"1009 (BC_2, IO_PAD248, input, X)," & --  PAD248
	"1010 (BC_2, *, controlr, 1)," &
	"1011 (BC_2, IO_PAD247, output3, X, 1010, 1, PULL0)," & --  PAD247
	"1012 (BC_2, IO_PAD247, input, X)," & --  PAD247
	"1013 (BC_2, *, controlr, 1)," &
	"1014 (BC_2, IO_PAD246, output3, X, 1013, 1, PULL0)," & --  PAD246
	"1015 (BC_2, IO_PAD246, input, X)," & --  PAD246
	"1016 (BC_2, *, controlr, 1)," &
	"1017 (BC_2, IO_PAD245, output3, X, 1016, 1, PULL0)," & --  PAD245
	"1018 (BC_2, IO_PAD245, input, X)," & --  PAD245
	"1019 (BC_2, *, controlr, 1)," &
	"1020 (BC_2, IO_PAD244, output3, X, 1019, 1, PULL0)," & --  PAD244
	"1021 (BC_2, IO_PAD244, input, X)," & --  PAD244
	"1022 (BC_2, *, controlr, 1)," &
	"1023 (BC_2, IO_PAD243, output3, X, 1022, 1, PULL0)," & --  PAD243
	"1024 (BC_2, IO_PAD243, input, X)," & --  PAD243
	"1025 (BC_2, *, controlr, 1)," &
	"1026 (BC_2, IO_PAD242, output3, X, 1025, 1, PULL0)," & --  PAD242
	"1027 (BC_2, IO_PAD242, input, X)," & --  PAD242
	"1028 (BC_2, *, controlr, 1)," &
	"1029 (BC_2, IO_PAD241, output3, X, 1028, 1, PULL0)," & --  PAD241
	"1030 (BC_2, IO_PAD241, input, X)," & --  PAD241
	"1031 (BC_2, *, controlr, 1)," &
	"1032 (BC_2, IO_PAD240, output3, X, 1031, 1, PULL0)," & --  PAD240
	"1033 (BC_2, IO_PAD240, input, X)," & --  PAD240
	"1034 (BC_2, *, controlr, 1)," &
	"1035 (BC_2, IO_PAD239, output3, X, 1034, 1, PULL0)," & --  PAD239
	"1036 (BC_2, IO_PAD239, input, X)," & --  PAD239
	"1037 (BC_2, *, controlr, 1)," &
	"1038 (BC_2, IO_PAD238, output3, X, 1037, 1, PULL0)," & --  PAD238
	"1039 (BC_2, IO_PAD238, input, X)," & --  PAD238
	"1040 (BC_2, *, controlr, 1)," &
	"1041 (BC_2, IO_PAD237, output3, X, 1040, 1, PULL0)," & --  PAD237
	"1042 (BC_2, IO_PAD237, input, X)," & --  PAD237
	"1043 (BC_2, *, controlr, 1)," &
	"1044 (BC_2, IO_PAD236, output3, X, 1043, 1, PULL0)," & --  PAD236
	"1045 (BC_2, IO_PAD236, input, X)," & --  PAD236
	"1046 (BC_2, *, controlr, 1)," &
	"1047 (BC_2, IO_PAD235, output3, X, 1046, 1, PULL0)," & --  PAD235
	"1048 (BC_2, IO_PAD235, input, X)," & --  PAD235
	"1049 (BC_2, *, controlr, 1)," &
	"1050 (BC_2, IO_PAD234, output3, X, 1049, 1, PULL0)," & --  PAD234
	"1051 (BC_2, IO_PAD234, input, X)," & --  PAD234
	"1052 (BC_2, *, controlr, 1)," &
	"1053 (BC_2, IO_PAD233, output3, X, 1052, 1, PULL0)," & --  PAD233
	"1054 (BC_2, IO_PAD233, input, X)," & --  PAD233
	"1055 (BC_2, *, controlr, 1)," &
	"1056 (BC_2, IO_PAD232, output3, X, 1055, 1, PULL0)," & --  PAD232
	"1057 (BC_2, IO_PAD232, input, X)," & --  PAD232
	"1058 (BC_2, *, controlr, 1)," &
	"1059 (BC_2, IO_PAD231, output3, X, 1058, 1, PULL0)," & --  PAD231
	"1060 (BC_2, IO_PAD231, input, X)," & --  PAD231
	"1061 (BC_2, *, controlr, 1)," &
	"1062 (BC_2, IO_PAD230, output3, X, 1061, 1, PULL0)," & --  PAD230
	"1063 (BC_2, IO_PAD230, input, X)," & --  PAD230
	"1064 (BC_2, *, controlr, 1)," &
	"1065 (BC_2, IO_PAD229, output3, X, 1064, 1, PULL0)," & --  PAD229
	"1066 (BC_2, IO_PAD229, input, X)," & --  PAD229
	"1067 (BC_2, *, controlr, 1)," &
	"1068 (BC_2, IO_PAD228, output3, X, 1067, 1, PULL0)," & --  PAD228
	"1069 (BC_2, IO_PAD228, input, X)," & --  PAD228
	"1070 (BC_2, *, controlr, 1)," &
	"1071 (BC_2, IO_PAD227, output3, X, 1070, 1, PULL0)," & --  PAD227
	"1072 (BC_2, IO_PAD227, input, X)," & --  PAD227
	"1073 (BC_2, *, controlr, 1)," &
	"1074 (BC_2, IO_PAD226, output3, X, 1073, 1, PULL0)," & --  PAD226
	"1075 (BC_2, IO_PAD226, input, X)," & --  PAD226
	"1076 (BC_2, *, controlr, 1)," &
	"1077 (BC_2, IO_PAD225, output3, X, 1076, 1, PULL0)," & --  PAD225
	"1078 (BC_2, IO_PAD225, input, X)," & --  PAD225
	"1079 (BC_2, *, controlr, 1)," &
	"1080 (BC_2, IO_PAD224, output3, X, 1079, 1, PULL0)," & --  PAD224
	"1081 (BC_2, IO_PAD224, input, X)," & --  PAD224
	"1082 (BC_2, *, controlr, 1)," &
	"1083 (BC_2, IO_PAD223, output3, X, 1082, 1, PULL0)," & --  PAD223
	"1084 (BC_2, IO_PAD223, input, X)," & --  PAD223
	"1085 (BC_2, *, controlr, 1)," &
	"1086 (BC_2, IO_PAD222, output3, X, 1085, 1, PULL0)," & --  PAD222
	"1087 (BC_2, IO_PAD222, input, X)," & --  PAD222
	"1088 (BC_2, *, controlr, 1)," &
	"1089 (BC_2, IO_PAD221, output3, X, 1088, 1, PULL0)," & --  PAD221
	"1090 (BC_2, IO_PAD221, input, X)," & --  PAD221
	"1091 (BC_2, *, controlr, 1)," &
	"1092 (BC_2, IO_PAD220, output3, X, 1091, 1, PULL0)," & --  PAD220
	"1093 (BC_2, IO_PAD220, input, X)," & --  PAD220
	"1094 (BC_2, *, controlr, 1)," &
	"1095 (BC_2, IO_PAD219, output3, X, 1094, 1, PULL0)," & --  PAD219
	"1096 (BC_2, IO_PAD219, input, X)," & --  PAD219
	"1097 (BC_2, *, controlr, 1)," &
	"1098 (BC_2, IO_PAD218, output3, X, 1097, 1, PULL0)," & --  PAD218
	"1099 (BC_2, IO_PAD218, input, X)," & --  PAD218
	"1100 (BC_2, *, controlr, 1)," &
	"1101 (BC_2, IO_PAD217, output3, X, 1100, 1, PULL0)," & --  PAD217
	"1102 (BC_2, IO_PAD217, input, X)," & --  PAD217
	"1103 (BC_2, *, controlr, 1)," &
	"1104 (BC_2, IO_PAD216, output3, X, 1103, 1, PULL0)," & --  PAD216
	"1105 (BC_2, IO_PAD216, input, X)," & --  PAD216
	"1106 (BC_2, *, controlr, 1)," &
	"1107 (BC_2, IO_PAD215, output3, X, 1106, 1, PULL0)," & --  PAD215
	"1108 (BC_2, IO_PAD215, input, X)," & --  PAD215
	"1109 (BC_2, *, controlr, 1)," &
	"1110 (BC_2, IO_PAD214, output3, X, 1109, 1, PULL0)," & --  PAD214
	"1111 (BC_2, IO_PAD214, input, X)," & --  PAD214
	"1112 (BC_2, *, controlr, 1)," &
	"1113 (BC_2, IO_PAD213, output3, X, 1112, 1, PULL0)," & --  PAD213
	"1114 (BC_2, IO_PAD213, input, X)," & --  PAD213
	"1115 (BC_2, *, controlr, 1)," &
	"1116 (BC_2, IO_PAD212, output3, X, 1115, 1, PULL0)," & --  PAD212
	"1117 (BC_2, IO_PAD212, input, X)," & --  PAD212
	"1118 (BC_2, *, controlr, 1)," &
	"1119 (BC_2, IO_PAD211, output3, X, 1118, 1, PULL0)," & --  PAD211
	"1120 (BC_2, IO_PAD211, input, X)," & --  PAD211
	"1121 (BC_2, *, controlr, 1)," &
	"1122 (BC_2, IO_PAD210, output3, X, 1121, 1, PULL0)," & --  PAD210
	"1123 (BC_2, IO_PAD210, input, X)," & --  PAD210
	"1124 (BC_2, *, controlr, 1)," &
	"1125 (BC_2, IO_PAD209, output3, X, 1124, 1, PULL0)," & --  PAD209
	"1126 (BC_2, IO_PAD209, input, X)," & --  PAD209
	"1127 (BC_2, *, controlr, 1)," &
	"1128 (BC_2, IO_PAD208, output3, X, 1127, 1, PULL0)," & --  PAD208
	"1129 (BC_2, IO_PAD208, input, X)," & --  PAD208
	"1130 (BC_2, *, controlr, 1)," &
	"1131 (BC_2, IO_PAD207, output3, X, 1130, 1, PULL0)," & --  PAD207
	"1132 (BC_2, IO_PAD207, input, X)," & --  PAD207
	"1133 (BC_2, *, controlr, 1)," &
	"1134 (BC_2, IO_PAD206, output3, X, 1133, 1, PULL0)," & --  PAD206
	"1135 (BC_2, IO_PAD206, input, X)," & --  PAD206
	"1136 (BC_2, *, controlr, 1)," &
	"1137 (BC_2, IO_PAD205, output3, X, 1136, 1, PULL0)," & --  PAD205
	"1138 (BC_2, IO_PAD205, input, X)," & --  PAD205
	"1139 (BC_2, *, controlr, 1)," &
	"1140 (BC_2, IO_PAD204, output3, X, 1139, 1, PULL0)," & --  PAD204
	"1141 (BC_2, IO_PAD204, input, X)," & --  PAD204
	"1142 (BC_2, *, controlr, 1)," &
	"1143 (BC_2, IO_PAD203, output3, X, 1142, 1, PULL0)," & --  PAD203
	"1144 (BC_2, IO_PAD203, input, X)," & --  PAD203
	"1145 (BC_2, *, controlr, 1)," &
	"1146 (BC_2, IO_PAD202, output3, X, 1145, 1, PULL0)," & --  PAD202
	"1147 (BC_2, IO_PAD202, input, X)," & --  PAD202
	"1148 (BC_2, *, controlr, 1)," &
	"1149 (BC_2, IO_PAD201, output3, X, 1148, 1, PULL0)," & --  PAD201
	"1150 (BC_2, IO_PAD201, input, X)," & --  PAD201
	"1151 (BC_2, *, controlr, 1)," &
	"1152 (BC_2, IO_PAD200, output3, X, 1151, 1, PULL0)," & --  PAD200
	"1153 (BC_2, IO_PAD200, input, X)," & --  PAD200
	"1154 (BC_2, *, controlr, 1)," &
	"1155 (BC_2, IO_PAD199, output3, X, 1154, 1, PULL0)," & --  PAD199
	"1156 (BC_2, IO_PAD199, input, X)," & --  PAD199
	"1157 (BC_2, *, controlr, 1)," &
	"1158 (BC_2, IO_PAD198, output3, X, 1157, 1, PULL0)," & --  PAD198
	"1159 (BC_2, IO_PAD198, input, X)," & --  PAD198
	"1160 (BC_2, *, controlr, 1)," &
	"1161 (BC_2, IO_PAD197, output3, X, 1160, 1, PULL0)," & --  PAD197
	"1162 (BC_2, IO_PAD197, input, X)," & --  PAD197
	"1163 (BC_2, *, controlr, 1)," &
	"1164 (BC_2, IO_PAD196, output3, X, 1163, 1, PULL0)," & --  PAD196
	"1165 (BC_2, IO_PAD196, input, X)," & --  PAD196
	"1166 (BC_2, *, controlr, 1)," &
	"1167 (BC_2, IO_PAD195, output3, X, 1166, 1, PULL0)," & --  PAD195
	"1168 (BC_2, IO_PAD195, input, X)," & --  PAD195
	"1169 (BC_2, *, controlr, 1)," &
	"1170 (BC_2, IO_PAD194, output3, X, 1169, 1, PULL0)," & --  PAD194
	"1171 (BC_2, IO_PAD194, input, X)," & --  PAD194
	"1172 (BC_2, *, controlr, 1)," &
	"1173 (BC_2, IO_PAD193, output3, X, 1172, 1, PULL0)," & --  PAD193
	"1174 (BC_2, IO_PAD193, input, X)," & --  PAD193
	"1175 (BC_2, *, controlr, 1)," &
	"1176 (BC_2, IO_PAD192, output3, X, 1175, 1, PULL0)," & --  PAD192
	"1177 (BC_2, IO_PAD192, input, X)," & --  PAD192
	"1178 (BC_2, *, controlr, 1)," &
	"1179 (BC_2, IO_PAD191, output3, X, 1178, 1, PULL0)," & --  PAD191
	"1180 (BC_2, IO_PAD191, input, X)," & --  PAD191
	"1181 (BC_2, *, controlr, 1)," &
	"1182 (BC_2, IO_PAD190, output3, X, 1181, 1, PULL0)," & --  PAD190
	"1183 (BC_2, IO_PAD190, input, X)," & --  PAD190
	"1184 (BC_2, *, controlr, 1)," &
	"1185 (BC_2, IO_PAD189, output3, X, 1184, 1, PULL0)," & --  PAD189
	"1186 (BC_2, IO_PAD189, input, X)," & --  PAD189
	"1187 (BC_2, *, controlr, 1)," &
	"1188 (BC_2, IO_PAD188, output3, X, 1187, 1, PULL0)," & --  PAD188
	"1189 (BC_2, IO_PAD188, input, X)," & --  PAD188
	"1190 (BC_2, *, controlr, 1)," &
	"1191 (BC_2, IO_PAD187, output3, X, 1190, 1, PULL0)," & --  PAD187
	"1192 (BC_2, IO_PAD187, input, X)," & --  PAD187
	"1193 (BC_2, *, controlr, 1)," &
	"1194 (BC_2, IO_PAD186, output3, X, 1193, 1, PULL0)," & --  PAD186
	"1195 (BC_2, IO_PAD186, input, X)," & --  PAD186
	"1196 (BC_2, *, controlr, 1)," &
	"1197 (BC_2, IO_PAD185, output3, X, 1196, 1, PULL0)," & --  PAD185
	"1198 (BC_2, IO_PAD185, input, X)," & --  PAD185
	"1199 (BC_2, *, controlr, 1)," &
	"1200 (BC_2, IO_PAD184, output3, X, 1199, 1, PULL0)," & --  PAD184
	"1201 (BC_2, IO_PAD184, input, X)," & --  PAD184
	"1202 (BC_2, *, controlr, 1)," &
	"1203 (BC_2, IO_PAD183, output3, X, 1202, 1, PULL0)," & --  PAD183
	"1204 (BC_2, IO_PAD183, input, X)," & --  PAD183
	"1205 (BC_2, *, controlr, 1)," &
	"1206 (BC_2, IO_PAD182, output3, X, 1205, 1, PULL0)," & --  PAD182
	"1207 (BC_2, IO_PAD182, input, X)," & --  PAD182
	"1208 (BC_2, *, controlr, 1)," &
	"1209 (BC_2, IO_PAD181, output3, X, 1208, 1, PULL0)," & --  PAD181
	"1210 (BC_2, IO_PAD181, input, X)," & --  PAD181
	"1211 (BC_2, *, controlr, 1)," &
	"1212 (BC_2, IO_PAD180, output3, X, 1211, 1, PULL0)," & --  PAD180
	"1213 (BC_2, IO_PAD180, input, X)," & --  PAD180
	"1214 (BC_2, *, controlr, 1)," &
	"1215 (BC_2, IO_PAD179, output3, X, 1214, 1, PULL0)," & --  PAD179
	"1216 (BC_2, IO_PAD179, input, X)," & --  PAD179
	"1217 (BC_2, *, controlr, 1)," &
	"1218 (BC_2, IO_PAD178, output3, X, 1217, 1, PULL0)," & --  PAD178
	"1219 (BC_2, IO_PAD178, input, X)," & --  PAD178
	"1220 (BC_2, *, controlr, 1)," &
	"1221 (BC_2, IO_PAD177, output3, X, 1220, 1, PULL0)," & --  PAD177
	"1222 (BC_2, IO_PAD177, input, X)," & --  PAD177
	"1223 (BC_2, *, controlr, 1)," &
	"1224 (BC_2, IO_PAD176, output3, X, 1223, 1, PULL0)," & --  PAD176
	"1225 (BC_2, IO_PAD176, input, X)," & --  PAD176
	"1226 (BC_2, *, controlr, 1)," &
	"1227 (BC_2, IO_PAD175, output3, X, 1226, 1, PULL0)," & --  PAD175
	"1228 (BC_2, IO_PAD175, input, X)," & --  PAD175
	"1229 (BC_2, *, controlr, 1)," &
	"1230 (BC_2, IO_PAD174, output3, X, 1229, 1, PULL0)," & --  PAD174
	"1231 (BC_2, IO_PAD174, input, X)," & --  PAD174
	"1232 (BC_2, *, controlr, 1)," &
	"1233 (BC_2, IO_PAD173, output3, X, 1232, 1, PULL0)," & --  PAD173
	"1234 (BC_2, IO_PAD173, input, X)," & --  PAD173
	"1235 (BC_2, *, controlr, 1)," &
	"1236 (BC_2, IO_PAD172, output3, X, 1235, 1, PULL0)," & --  PAD172
	"1237 (BC_2, IO_PAD172, input, X)," & --  PAD172
	"1238 (BC_2, *, controlr, 1)," &
	"1239 (BC_2, IO_PAD171, output3, X, 1238, 1, PULL0)," & --  PAD171
	"1240 (BC_2, IO_PAD171, input, X)," & --  PAD171
	"1241 (BC_2, *, controlr, 1)," &
	"1242 (BC_2, IO_PAD170, output3, X, 1241, 1, PULL0)," & --  PAD170
	"1243 (BC_2, IO_PAD170, input, X)," & --  PAD170
	"1244 (BC_2, *, controlr, 1)," &
	"1245 (BC_2, IO_PAD169, output3, X, 1244, 1, PULL0)," & --  PAD169
	"1246 (BC_2, IO_PAD169, input, X)," & --  PAD169
	"1247 (BC_2, *, controlr, 1)," &
	"1248 (BC_2, IO_PAD168, output3, X, 1247, 1, PULL0)," & --  PAD168
	"1249 (BC_2, IO_PAD168, input, X)," & --  PAD168
	"1250 (BC_2, *, controlr, 1)," &
	"1251 (BC_2, IO_PAD167, output3, X, 1250, 1, PULL0)," & --  PAD167
	"1252 (BC_2, IO_PAD167, input, X)," & --  PAD167
	"1253 (BC_2, *, controlr, 1)," &
	"1254 (BC_2, IO_PAD166, output3, X, 1253, 1, PULL0)," & --  PAD166
	"1255 (BC_2, IO_PAD166, input, X)," & --  PAD166
	"1256 (BC_2, *, controlr, 1)," &
	"1257 (BC_2, IO_PAD165, output3, X, 1256, 1, PULL0)," & --  PAD165
	"1258 (BC_2, IO_PAD165, input, X)," & --  PAD165
	"1259 (BC_2, *, controlr, 1)," &
	"1260 (BC_2, IO_PAD164, output3, X, 1259, 1, PULL0)," & --  PAD164
	"1261 (BC_2, IO_PAD164, input, X)," & --  PAD164
	"1262 (BC_2, *, controlr, 1)," &
	"1263 (BC_2, IO_PAD163, output3, X, 1262, 1, PULL0)," & --  PAD163
	"1264 (BC_2, IO_PAD163, input, X)," & --  PAD163
	"1265 (BC_2, *, controlr, 1)," &
	"1266 (BC_2, IO_PAD162, output3, X, 1265, 1, PULL0)," & --  PAD162
	"1267 (BC_2, IO_PAD162, input, X)," & --  PAD162
	"1268 (BC_2, *, controlr, 1)," &
	"1269 (BC_2, IO_PAD161, output3, X, 1268, 1, PULL0)," & --  PAD161
	"1270 (BC_2, IO_PAD161, input, X)," & --  PAD161
	"1271 (BC_2, *, controlr, 1)," &
	"1272 (BC_2, IO_PAD160, output3, X, 1271, 1, PULL0)," & --  PAD160
	"1273 (BC_2, IO_PAD160, input, X)," & --  PAD160
	"1274 (BC_2, *, controlr, 1)," &
	"1275 (BC_2, IO_PAD159, output3, X, 1274, 1, PULL0)," & --  PAD159
	"1276 (BC_2, IO_PAD159, input, X)," & --  PAD159
	"1277 (BC_2, *, controlr, 1)," &
	"1278 (BC_2, IO_PAD158, output3, X, 1277, 1, PULL0)," & --  PAD158
	"1279 (BC_2, IO_PAD158, input, X)," & --  PAD158
	"1280 (BC_2, *, controlr, 1)," &
	"1281 (BC_2, IO_PAD157, output3, X, 1280, 1, PULL0)," & --  PAD157
	"1282 (BC_2, IO_PAD157, input, X)," & --  PAD157
	"1283 (BC_2, *, controlr, 1)," &
	"1284 (BC_2, IO_PAD156, output3, X, 1283, 1, PULL0)," & --  PAD156
	"1285 (BC_2, IO_PAD156, input, X)," & --  PAD156
	"1286 (BC_2, *, controlr, 1)," &
	"1287 (BC_2, IO_PAD155, output3, X, 1286, 1, PULL0)," & --  PAD155
	"1288 (BC_2, IO_PAD155, input, X)," & --  PAD155
	"1289 (BC_2, *, controlr, 1)," &
	"1290 (BC_2, IO_PAD154, output3, X, 1289, 1, PULL0)," & --  PAD154
	"1291 (BC_2, IO_PAD154, input, X)," & --  PAD154
	"1292 (BC_2, *, controlr, 1)," &
	"1293 (BC_2, IO_PAD153, output3, X, 1292, 1, PULL0)," & --  PAD153
	"1294 (BC_2, IO_PAD153, input, X)," & --  PAD153
	"1295 (BC_2, *, controlr, 1)," &
	"1296 (BC_2, IO_PAD152, output3, X, 1295, 1, PULL0)," & --  PAD152
	"1297 (BC_2, IO_PAD152, input, X)," & --  PAD152
	"1298 (BC_2, *, controlr, 1)," &
	"1299 (BC_2, IO_PAD151, output3, X, 1298, 1, PULL0)," & --  PAD151
	"1300 (BC_2, IO_PAD151, input, X)," & --  PAD151
	"1301 (BC_2, *, controlr, 1)," &
	"1302 (BC_2, IO_PAD150, output3, X, 1301, 1, PULL0)," & --  PAD150
	"1303 (BC_2, IO_PAD150, input, X)," & --  PAD150
	"1304 (BC_2, *, controlr, 1)," &
	"1305 (BC_2, IO_PAD149, output3, X, 1304, 1, PULL0)," & --  PAD149
	"1306 (BC_2, IO_PAD149, input, X)," & --  PAD149
	"1307 (BC_2, *, controlr, 1)," &
	"1308 (BC_2, IO_PAD148, output3, X, 1307, 1, PULL0)," & --  PAD148
	"1309 (BC_2, IO_PAD148, input, X)," & --  PAD148
	"1310 (BC_2, *, controlr, 1)," &
	"1311 (BC_2, IO_PAD147, output3, X, 1310, 1, PULL0)," & --  PAD147
	"1312 (BC_2, IO_PAD147, input, X)," & --  PAD147
	"1313 (BC_2, *, controlr, 1)," &
	"1314 (BC_2, IO_PAD146, output3, X, 1313, 1, PULL0)," & --  PAD146
	"1315 (BC_2, IO_PAD146, input, X)," & --  PAD146
	"1316 (BC_2, *, controlr, 1)," &
	"1317 (BC_2, IO_PAD145, output3, X, 1316, 1, PULL0)," & --  PAD145
	"1318 (BC_2, IO_PAD145, input, X)," & --  PAD145
	"1319 (BC_2, *, controlr, 1)," &
	"1320 (BC_2, IO_PAD144, output3, X, 1319, 1, PULL0)," & --  PAD144
	"1321 (BC_2, IO_PAD144, input, X)," & --  PAD144
	"1322 (BC_2, *, controlr, 1)," &
	"1323 (BC_2, IO_PAD143, output3, X, 1322, 1, PULL0)," & --  PAD143
	"1324 (BC_2, IO_PAD143, input, X)," & --  PAD143
	"1325 (BC_2, *, controlr, 1)," &
	"1326 (BC_2, IO_PAD142, output3, X, 1325, 1, PULL0)," & --  PAD142
	"1327 (BC_2, IO_PAD142, input, X)," & --  PAD142
	"1328 (BC_2, *, controlr, 1)," &
	"1329 (BC_2, IO_PAD141, output3, X, 1328, 1, PULL0)," & --  PAD141
	"1330 (BC_2, IO_PAD141, input, X)," & --  PAD141
	"1331 (BC_2, *, controlr, 1)," &
	"1332 (BC_2, IO_PAD140, output3, X, 1331, 1, PULL0)," & --  PAD140
	"1333 (BC_2, IO_PAD140, input, X)," & --  PAD140
	"1334 (BC_2, *, controlr, 1)," &
	"1335 (BC_2, IO_PAD139, output3, X, 1334, 1, PULL0)," & --  PAD139
	"1336 (BC_2, IO_PAD139, input, X)," & --  PAD139
	"1337 (BC_2, *, controlr, 1)," &
	"1338 (BC_2, IO_PAD138, output3, X, 1337, 1, PULL0)," & --  PAD138
	"1339 (BC_2, IO_PAD138, input, X)," & --  PAD138
	"1340 (BC_2, *, controlr, 1)," &
	"1341 (BC_2, IO_PAD137, output3, X, 1340, 1, PULL0)," & --  PAD137
	"1342 (BC_2, IO_PAD137, input, X)," & --  PAD137
	"1343 (BC_2, *, controlr, 1)," &
	"1344 (BC_2, IO_PAD136, output3, X, 1343, 1, PULL0)," & --  PAD136
	"1345 (BC_2, IO_PAD136, input, X)," & --  PAD136
	"1346 (BC_2, *, controlr, 1)," &
	"1347 (BC_2, IO_PAD135, output3, X, 1346, 1, PULL0)," & --  PAD135
	"1348 (BC_2, IO_PAD135, input, X)," & --  PAD135
	"1349 (BC_2, *, controlr, 1)," &
	"1350 (BC_2, IO_PAD134, output3, X, 1349, 1, PULL0)," & --  PAD134
	"1351 (BC_2, IO_PAD134, input, X)," & --  PAD134
	"1352 (BC_2, *, controlr, 1)," &
	"1353 (BC_2, IO_PAD133, output3, X, 1352, 1, PULL0)," & --  PAD133
	"1354 (BC_2, IO_PAD133, input, X)," & --  PAD133
	"1355 (BC_2, *, controlr, 1)," &
	"1356 (BC_2, IO_PAD132, output3, X, 1355, 1, PULL0)," & --  PAD132
	"1357 (BC_2, IO_PAD132, input, X)," & --  PAD132
	"1358 (BC_2, *, controlr, 1)," &
	"1359 (BC_2, IO_PAD131, output3, X, 1358, 1, PULL0)," & --  PAD131
	"1360 (BC_2, IO_PAD131, input, X)," & --  PAD131
	"1361 (BC_2, *, controlr, 1)," &
	"1362 (BC_2, IO_PAD130, output3, X, 1361, 1, PULL0)," & --  PAD130
	"1363 (BC_2, IO_PAD130, input, X)," & --  PAD130
	"1364 (BC_2, *, controlr, 1)," &
	"1365 (BC_2, IO_PAD129, output3, X, 1364, 1, PULL0)," & --  PAD129
	"1366 (BC_2, IO_PAD129, input, X)," & --  PAD129
	"1367 (BC_2, *, controlr, 1)," &
	"1368 (BC_2, IO_PAD128, output3, X, 1367, 1, PULL0)," & --  PAD128
	"1369 (BC_2, IO_PAD128, input, X)," & --  PAD128
	"1370 (BC_2, *, controlr, 1)," &
	"1371 (BC_2, IO_PAD127, output3, X, 1370, 1, PULL0)," & --  PAD127
	"1372 (BC_2, IO_PAD127, input, X)," & --  PAD127
	"1373 (BC_2, *, controlr, 1)," &
	"1374 (BC_2, IO_PAD126, output3, X, 1373, 1, PULL0)," & --  PAD126
	"1375 (BC_2, IO_PAD126, input, X)," & --  PAD126
	"1376 (BC_2, *, controlr, 1)," &
	"1377 (BC_2, IO_PAD125, output3, X, 1376, 1, PULL0)," & --  PAD125
	"1378 (BC_2, IO_PAD125, input, X)," & --  PAD125
	"1379 (BC_2, *, controlr, 1)," &
	"1380 (BC_2, IO_PAD124, output3, X, 1379, 1, PULL0)," & --  PAD124
	"1381 (BC_2, IO_PAD124, input, X)," & --  PAD124
	"1382 (BC_2, *, controlr, 1)," &
	"1383 (BC_2, IO_PAD123, output3, X, 1382, 1, PULL0)," & --  PAD123
	"1384 (BC_2, IO_PAD123, input, X)," & --  PAD123
	"1385 (BC_2, *, controlr, 1)," &
	"1386 (BC_2, IO_PAD122, output3, X, 1385, 1, PULL0)," & --  PAD122
	"1387 (BC_2, IO_PAD122, input, X)," & --  PAD122
	"1388 (BC_2, *, controlr, 1)," &
	"1389 (BC_2, IO_PAD121, output3, X, 1388, 1, PULL0)," & --  PAD121
	"1390 (BC_2, IO_PAD121, input, X)," & --  PAD121
	"1391 (BC_2, *, controlr, 1)," &
	"1392 (BC_2, IO_PAD120, output3, X, 1391, 1, PULL0)," & --  PAD120
	"1393 (BC_2, IO_PAD120, input, X)," & --  PAD120
	"1394 (BC_2, *, controlr, 1)," &
	"1395 (BC_2, IO_PAD119, output3, X, 1394, 1, PULL0)," & --  PAD119
	"1396 (BC_2, IO_PAD119, input, X)," & --  PAD119
	"1397 (BC_2, *, controlr, 1)," &
	"1398 (BC_2, IO_PAD118, output3, X, 1397, 1, PULL0)," & --  PAD118
	"1399 (BC_2, IO_PAD118, input, X)," & --  PAD118
	"1400 (BC_2, *, controlr, 1)," &
	"1401 (BC_2, IO_PAD117, output3, X, 1400, 1, PULL0)," & --  PAD117
	"1402 (BC_2, IO_PAD117, input, X)," & --  PAD117
	"1403 (BC_2, *, controlr, 1)," &
	"1404 (BC_2, IO_PAD116, output3, X, 1403, 1, PULL0)," & --  PAD116
	"1405 (BC_2, IO_PAD116, input, X)," & --  PAD116
	"1406 (BC_2, *, controlr, 1)," &
	"1407 (BC_2, IO_PAD115, output3, X, 1406, 1, PULL0)," & --  PAD115
	"1408 (BC_2, IO_PAD115, input, X)," & --  PAD115
	"1409 (BC_2, *, controlr, 1)," &
	"1410 (BC_2, IO_PAD114, output3, X, 1409, 1, PULL0)," & --  PAD114
	"1411 (BC_2, IO_PAD114, input, X)," & --  PAD114
	"1412 (BC_2, *, controlr, 1)," &
	"1413 (BC_2, IO_PAD113, output3, X, 1412, 1, PULL0)," & --  PAD113
	"1414 (BC_2, IO_PAD113, input, X)," & --  PAD113
	"1415 (BC_2, *, controlr, 1)," &
	"1416 (BC_2, IO_PAD112, output3, X, 1415, 1, PULL0)," & --  PAD112
	"1417 (BC_2, IO_PAD112, input, X)," & --  PAD112
	"1418 (BC_2, *, controlr, 1)," &
	"1419 (BC_2, IO_PAD111, output3, X, 1418, 1, PULL0)," & --  PAD111
	"1420 (BC_2, IO_PAD111, input, X)," & --  PAD111
	"1421 (BC_2, *, controlr, 1)," &
	"1422 (BC_2, IO_PAD110, output3, X, 1421, 1, PULL0)," & --  PAD110
	"1423 (BC_2, IO_PAD110, input, X)," & --  PAD110
	"1424 (BC_2, *, controlr, 1)," &
	"1425 (BC_2, IO_PAD109, output3, X, 1424, 1, PULL0)," & --  PAD109
	"1426 (BC_2, IO_PAD109, input, X)," & --  PAD109
	"1427 (BC_2, *, controlr, 1)," &
	"1428 (BC_2, IO_PAD108, output3, X, 1427, 1, PULL0)," & --  PAD108
	"1429 (BC_2, IO_PAD108, input, X)," & --  PAD108
	"1430 (BC_2, *, controlr, 1)," &
	"1431 (BC_2, IO_PAD107, output3, X, 1430, 1, PULL0)," & --  PAD107
	"1432 (BC_2, IO_PAD107, input, X)," & --  PAD107
	"1433 (BC_2, *, controlr, 1)," &
	"1434 (BC_2, IO_PAD106, output3, X, 1433, 1, PULL0)," & --  PAD106
	"1435 (BC_2, IO_PAD106, input, X)," & --  PAD106
	"1436 (BC_2, *, controlr, 1)," &
	"1437 (BC_2, IO_PAD105, output3, X, 1436, 1, PULL0)," & --  PAD105
	"1438 (BC_2, IO_PAD105, input, X)," & --  PAD105
	"1439 (BC_2, *, controlr, 1)," &
	"1440 (BC_2, IO_PAD104, output3, X, 1439, 1, PULL0)," & --  PAD104
	"1441 (BC_2, IO_PAD104, input, X)," & --  PAD104
	"1442 (BC_2, *, controlr, 1)," &
	"1443 (BC_2, IO_PAD103, output3, X, 1442, 1, PULL0)," & --  PAD103
	"1444 (BC_2, IO_PAD103, input, X)," & --  PAD103
	"1445 (BC_2, *, controlr, 1)," &
	"1446 (BC_2, IO_PAD102, output3, X, 1445, 1, PULL0)," & --  PAD102
	"1447 (BC_2, IO_PAD102, input, X)," & --  PAD102
	"1448 (BC_2, *, controlr, 1)," &
	"1449 (BC_2, IO_PAD101, output3, X, 1448, 1, PULL0)," & --  PAD101
	"1450 (BC_2, IO_PAD101, input, X)," & --  PAD101
	"1451 (BC_2, *, controlr, 1)," &
	"1452 (BC_2, IO_PAD100, output3, X, 1451, 1, PULL0)," & --  PAD100
	"1453 (BC_2, IO_PAD100, input, X)," & --  PAD100
	"1454 (BC_2, *, controlr, 1)," &
	"1455 (BC_2, IO_PAD99, output3, X, 1454, 1, PULL0)," & --  PAD99
	"1456 (BC_2, IO_PAD99, input, X)," & --  PAD99
	"1457 (BC_2, *, controlr, 1)," &
	"1458 (BC_2, IO_PAD98, output3, X, 1457, 1, PULL0)," & --  PAD98
	"1459 (BC_2, IO_PAD98, input, X)," & --  PAD98
	"1460 (BC_2, *, controlr, 1)," &
	"1461 (BC_2, IO_PAD97, output3, X, 1460, 1, PULL0)," & --  PAD97
	"1462 (BC_2, IO_PAD97, input, X)," & --  PAD97
	"1463 (BC_2, *, controlr, 1)," &
	"1464 (BC_2, IO_PAD96, output3, X, 1463, 1, PULL0)," & --  PAD96
	"1465 (BC_2, IO_PAD96, input, X)," & --  PAD96
	"1466 (BC_2, *, controlr, 1)," &
	"1467 (BC_2, IO_PAD95, output3, X, 1466, 1, PULL0)," & --  PAD95
	"1468 (BC_2, IO_PAD95, input, X)," & --  PAD95
	"1469 (BC_2, *, controlr, 1)," &
	"1470 (BC_2, IO_PAD94, output3, X, 1469, 1, PULL0)," & --  PAD94
	"1471 (BC_2, IO_PAD94, input, X)," & --  PAD94
	"1472 (BC_2, *, controlr, 1)," &
	"1473 (BC_2, IO_PAD93, output3, X, 1472, 1, PULL0)," & --  PAD93
	"1474 (BC_2, IO_PAD93, input, X)," & --  PAD93
	"1475 (BC_2, *, controlr, 1)," &
	"1476 (BC_2, IO_PAD92, output3, X, 1475, 1, PULL0)," & --  PAD92
	"1477 (BC_2, IO_PAD92, input, X)," & --  PAD92
	"1478 (BC_2, *, controlr, 1)," &
	"1479 (BC_2, IO_PAD91, output3, X, 1478, 1, PULL0)," & --  PAD91
	"1480 (BC_2, IO_PAD91, input, X)," & --  PAD91
	"1481 (BC_2, *, controlr, 1)," &
	"1482 (BC_2, IO_PAD90, output3, X, 1481, 1, PULL0)," & --  PAD90
	"1483 (BC_2, IO_PAD90, input, X)," & --  PAD90
	"1484 (BC_2, *, controlr, 1)," &
	"1485 (BC_2, IO_PAD89, output3, X, 1484, 1, PULL0)," & --  PAD89
	"1486 (BC_2, IO_PAD89, input, X)," & --  PAD89
	"1487 (BC_2, *, controlr, 1)," &
	"1488 (BC_2, IO_PAD88, output3, X, 1487, 1, PULL0)," & --  PAD88
	"1489 (BC_2, IO_PAD88, input, X)," & --  PAD88
	"1490 (BC_2, *, controlr, 1)," &
	"1491 (BC_2, IO_PAD87, output3, X, 1490, 1, PULL0)," & --  PAD87
	"1492 (BC_2, IO_PAD87, input, X)," & --  PAD87
	"1493 (BC_2, *, controlr, 1)," &
	"1494 (BC_2, IO_PAD86, output3, X, 1493, 1, PULL0)," & --  PAD86
	"1495 (BC_2, IO_PAD86, input, X)," & --  PAD86
	"1496 (BC_2, *, controlr, 1)," &
	"1497 (BC_2, IO_PAD85, output3, X, 1496, 1, PULL0)," & --  PAD85
	"1498 (BC_2, IO_PAD85, input, X)," & --  PAD85
	"1499 (BC_2, *, controlr, 1)," &
	"1500 (BC_2, IO_PAD84, output3, X, 1499, 1, PULL0)," & --  PAD84
	"1501 (BC_2, IO_PAD84, input, X)," & --  PAD84
	"1502 (BC_2, *, controlr, 1)," &
	"1503 (BC_2, IO_PAD83, output3, X, 1502, 1, PULL0)," & --  PAD83
	"1504 (BC_2, IO_PAD83, input, X)," & --  PAD83
	"1505 (BC_2, *, controlr, 1)," &
	"1506 (BC_2, IO_PAD82, output3, X, 1505, 1, PULL0)," & --  PAD82
	"1507 (BC_2, IO_PAD82, input, X)," & --  PAD82
	"1508 (BC_2, *, controlr, 1)," &
	"1509 (BC_2, IO_PAD81, output3, X, 1508, 1, PULL0)," & --  PAD81
	"1510 (BC_2, IO_PAD81, input, X)," & --  PAD81
	"1511 (BC_2, *, controlr, 1)," &
	"1512 (BC_2, IO_PAD80, output3, X, 1511, 1, PULL0)," & --  PAD80
	"1513 (BC_2, IO_PAD80, input, X)," & --  PAD80
	"1514 (BC_2, *, controlr, 1)," &
	"1515 (BC_2, IO_PAD79, output3, X, 1514, 1, PULL0)," & --  PAD79
	"1516 (BC_2, IO_PAD79, input, X)," & --  PAD79
	"1517 (BC_2, *, controlr, 1)," &
	"1518 (BC_2, IO_PAD78, output3, X, 1517, 1, PULL0)," & --  PAD78
	"1519 (BC_2, IO_PAD78, input, X)," & --  PAD78
	"1520 (BC_2, *, controlr, 1)," &
	"1521 (BC_2, IO_PAD77, output3, X, 1520, 1, PULL0)," & --  PAD77
	"1522 (BC_2, IO_PAD77, input, X)," & --  PAD77
	"1523 (BC_2, *, controlr, 1)," &
	"1524 (BC_2, IO_PAD76, output3, X, 1523, 1, PULL0)," & --  PAD76
	"1525 (BC_2, IO_PAD76, input, X)," & --  PAD76
	"1526 (BC_2, *, controlr, 1)," &
	"1527 (BC_2, IO_PAD75, output3, X, 1526, 1, PULL0)," & --  PAD75
	"1528 (BC_2, IO_PAD75, input, X)," & --  PAD75
	"1529 (BC_2, *, controlr, 1)," &
	"1530 (BC_2, IO_PAD74, output3, X, 1529, 1, PULL0)," & --  PAD74
	"1531 (BC_2, IO_PAD74, input, X)," & --  PAD74
	"1532 (BC_2, *, controlr, 1)," &
	"1533 (BC_2, IO_PAD73, output3, X, 1532, 1, PULL0)," & --  PAD73
	"1534 (BC_2, IO_PAD73, input, X)," & --  PAD73
	"1535 (BC_2, *, controlr, 1)," &
	"1536 (BC_2, IO_PAD72, output3, X, 1535, 1, PULL0)," & --  PAD72
	"1537 (BC_2, IO_PAD72, input, X)," & --  PAD72
	"1538 (BC_2, *, controlr, 1)," &
	"1539 (BC_2, IO_PAD71, output3, X, 1538, 1, PULL0)," & --  PAD71
	"1540 (BC_2, IO_PAD71, input, X)," & --  PAD71
	"1541 (BC_2, *, controlr, 1)," &
	"1542 (BC_2, IO_PAD70, output3, X, 1541, 1, PULL0)," & --  PAD70
	"1543 (BC_2, IO_PAD70, input, X)," & --  PAD70
	"1544 (BC_2, *, controlr, 1)," &
	"1545 (BC_2, IO_PAD69, output3, X, 1544, 1, PULL0)," & --  PAD69
	"1546 (BC_2, IO_PAD69, input, X)," & --  PAD69
	"1547 (BC_2, *, controlr, 1)," &
	"1548 (BC_2, IO_PAD68, output3, X, 1547, 1, PULL0)," & --  PAD68
	"1549 (BC_2, IO_PAD68, input, X)," & --  PAD68
	"1550 (BC_2, *, controlr, 1)," &
	"1551 (BC_2, IO_PAD67, output3, X, 1550, 1, PULL0)," & --  PAD67
	"1552 (BC_2, IO_PAD67, input, X)," & --  PAD67
	"1553 (BC_2, *, controlr, 1)," &
	"1554 (BC_2, IO_PAD66, output3, X, 1553, 1, PULL0)," & --  PAD66
	"1555 (BC_2, IO_PAD66, input, X)," & --  PAD66
	"1556 (BC_2, *, controlr, 1)," &
	"1557 (BC_2, IO_PAD65, output3, X, 1556, 1, PULL0)," & --  PAD65
	"1558 (BC_2, IO_PAD65, input, X)," & --  PAD65
	"1559 (BC_2, *, controlr, 1)," &
	"1560 (BC_2, IO_PAD64, output3, X, 1559, 1, PULL0)," & --  PAD64
	"1561 (BC_2, IO_PAD64, input, X)," & --  PAD64
	"1562 (BC_2, *, controlr, 1)," &
	"1563 (BC_2, IO_PAD63, output3, X, 1562, 1, PULL0)," & --  PAD63
	"1564 (BC_2, IO_PAD63, input, X)," & --  PAD63
	"1565 (BC_2, *, controlr, 1)," &
	"1566 (BC_2, IO_PAD62, output3, X, 1565, 1, PULL0)," & --  PAD62
	"1567 (BC_2, IO_PAD62, input, X)," & --  PAD62
	"1568 (BC_2, *, controlr, 1)," &
	"1569 (BC_2, IO_PAD61, output3, X, 1568, 1, PULL0)," & --  PAD61
	"1570 (BC_2, IO_PAD61, input, X)," & --  PAD61
	"1571 (BC_2, *, controlr, 1)," &
	"1572 (BC_2, IO_PAD60, output3, X, 1571, 1, PULL0)," & --  PAD60
	"1573 (BC_2, IO_PAD60, input, X)," & --  PAD60
	"1574 (BC_2, *, controlr, 1)," &
	"1575 (BC_2, IO_PAD59, output3, X, 1574, 1, PULL0)," & --  PAD59
	"1576 (BC_2, IO_PAD59, input, X)," & --  PAD59
	"1577 (BC_2, *, controlr, 1)," &
	"1578 (BC_2, IO_PAD58, output3, X, 1577, 1, PULL0)," & --  PAD58
	"1579 (BC_2, IO_PAD58, input, X)," & --  PAD58
	"1580 (BC_2, *, controlr, 1)," &
	"1581 (BC_2, IO_PAD57, output3, X, 1580, 1, PULL0)," & --  PAD57
	"1582 (BC_2, IO_PAD57, input, X)," & --  PAD57
	"1583 (BC_2, *, controlr, 1)," &
	"1584 (BC_2, IO_PAD56, output3, X, 1583, 1, PULL0)," & --  PAD56
	"1585 (BC_2, IO_PAD56, input, X)," & --  PAD56
	"1586 (BC_2, *, controlr, 1)," &
	"1587 (BC_2, IO_PAD55, output3, X, 1586, 1, PULL0)," & --  PAD55
	"1588 (BC_2, IO_PAD55, input, X)," & --  PAD55
	"1589 (BC_2, *, controlr, 1)," &
	"1590 (BC_2, IO_PAD54, output3, X, 1589, 1, PULL0)," & --  PAD54
	"1591 (BC_2, IO_PAD54, input, X)," & --  PAD54
	"1592 (BC_2, *, controlr, 1)," &
	"1593 (BC_2, IO_PAD53, output3, X, 1592, 1, PULL0)," & --  PAD53
	"1594 (BC_2, IO_PAD53, input, X)," & --  PAD53
	"1595 (BC_2, *, controlr, 1)," &
	"1596 (BC_2, IO_PAD52, output3, X, 1595, 1, PULL0)," & --  PAD52
	"1597 (BC_2, IO_PAD52, input, X)," & --  PAD52
	"1598 (BC_2, *, controlr, 1)," &
	"1599 (BC_2, IO_PAD51, output3, X, 1598, 1, PULL0)," & --  PAD51
	"1600 (BC_2, IO_PAD51, input, X)," & --  PAD51
	"1601 (BC_2, *, controlr, 1)," &
	"1602 (BC_2, IO_PAD50, output3, X, 1601, 1, PULL0)," & --  PAD50
	"1603 (BC_2, IO_PAD50, input, X)," & --  PAD50
	"1604 (BC_2, *, controlr, 1)," &
	"1605 (BC_2, IO_PAD49, output3, X, 1604, 1, PULL0)," & --  PAD49
	"1606 (BC_2, IO_PAD49, input, X)," & --  PAD49
	"1607 (BC_2, *, controlr, 1)," &
	"1608 (BC_2, IO_PAD48, output3, X, 1607, 1, PULL0)," & --  PAD48
	"1609 (BC_2, IO_PAD48, input, X)," & --  PAD48
	"1610 (BC_2, *, controlr, 1)," &
	"1611 (BC_2, IO_PAD47, output3, X, 1610, 1, PULL0)," & --  PAD47
	"1612 (BC_2, IO_PAD47, input, X)," & --  PAD47
	"1613 (BC_2, *, controlr, 1)," &
	"1614 (BC_2, IO_PAD46, output3, X, 1613, 1, PULL0)," & --  PAD46
	"1615 (BC_2, IO_PAD46, input, X)," & --  PAD46
	"1616 (BC_2, *, controlr, 1)," &
	"1617 (BC_2, IO_PAD45, output3, X, 1616, 1, PULL0)," & --  PAD45
	"1618 (BC_2, IO_PAD45, input, X)," & --  PAD45
	"1619 (BC_2, *, controlr, 1)," &
	"1620 (BC_2, IO_PAD44, output3, X, 1619, 1, PULL0)," & --  PAD44
	"1621 (BC_2, IO_PAD44, input, X)," & --  PAD44
	"1622 (BC_2, *, controlr, 1)," &
	"1623 (BC_2, IO_PAD43, output3, X, 1622, 1, PULL0)," & --  PAD43
	"1624 (BC_2, IO_PAD43, input, X)," & --  PAD43
	"1625 (BC_2, *, controlr, 1)," &
	"1626 (BC_2, IO_PAD42, output3, X, 1625, 1, PULL0)," & --  PAD42
	"1627 (BC_2, IO_PAD42, input, X)," & --  PAD42
	"1628 (BC_2, *, controlr, 1)," &
	"1629 (BC_2, IO_PAD41, output3, X, 1628, 1, PULL0)," & --  PAD41
	"1630 (BC_2, IO_PAD41, input, X)," & --  PAD41
	"1631 (BC_2, *, controlr, 1)," &
	"1632 (BC_2, IO_PAD40, output3, X, 1631, 1, PULL0)," & --  PAD40
	"1633 (BC_2, IO_PAD40, input, X)," & --  PAD40
	"1634 (BC_2, *, controlr, 1)," &
	"1635 (BC_2, IO_PAD39, output3, X, 1634, 1, PULL0)," & --  PAD39
	"1636 (BC_2, IO_PAD39, input, X)," & --  PAD39
	"1637 (BC_2, *, controlr, 1)," &
	"1638 (BC_2, IO_PAD38, output3, X, 1637, 1, PULL0)," & --  PAD38
	"1639 (BC_2, IO_PAD38, input, X)," & --  PAD38
	"1640 (BC_2, *, controlr, 1)," &
	"1641 (BC_2, IO_PAD37, output3, X, 1640, 1, PULL0)," & --  PAD37
	"1642 (BC_2, IO_PAD37, input, X)," & --  PAD37
	"1643 (BC_2, *, controlr, 1)," &
	"1644 (BC_2, IO_PAD36, output3, X, 1643, 1, PULL0)," & --  PAD36
	"1645 (BC_2, IO_PAD36, input, X)," & --  PAD36
	"1646 (BC_2, *, controlr, 1)," &
	"1647 (BC_2, IO_PAD35, output3, X, 1646, 1, PULL0)," & --  PAD35
	"1648 (BC_2, IO_PAD35, input, X)," & --  PAD35
	"1649 (BC_2, *, controlr, 1)," &
	"1650 (BC_2, IO_PAD34, output3, X, 1649, 1, PULL0)," & --  PAD34
	"1651 (BC_2, IO_PAD34, input, X)," & --  PAD34
	"1652 (BC_2, *, controlr, 1)," &
	"1653 (BC_2, IO_PAD33, output3, X, 1652, 1, PULL0)," & --  PAD33
	"1654 (BC_2, IO_PAD33, input, X)," & --  PAD33
	"1655 (BC_2, *, controlr, 1)," &
	"1656 (BC_2, IO_PAD32, output3, X, 1655, 1, PULL0)," & --  PAD32
	"1657 (BC_2, IO_PAD32, input, X)," & --  PAD32
	"1658 (BC_2, *, controlr, 1)," &
	"1659 (BC_2, IO_PAD31, output3, X, 1658, 1, PULL0)," & --  PAD31
	"1660 (BC_2, IO_PAD31, input, X)," & --  PAD31
	"1661 (BC_2, *, controlr, 1)," &
	"1662 (BC_2, IO_PAD30, output3, X, 1661, 1, PULL0)," & --  PAD30
	"1663 (BC_2, IO_PAD30, input, X)," & --  PAD30
	"1664 (BC_2, *, controlr, 1)," &
	"1665 (BC_2, IO_PAD29, output3, X, 1664, 1, PULL0)," & --  PAD29
	"1666 (BC_2, IO_PAD29, input, X)," & --  PAD29
	"1667 (BC_2, *, controlr, 1)," &
	"1668 (BC_2, IO_PAD28, output3, X, 1667, 1, PULL0)," & --  PAD28
	"1669 (BC_2, IO_PAD28, input, X)," & --  PAD28
	"1670 (BC_2, *, controlr, 1)," &
	"1671 (BC_2, IO_PAD27, output3, X, 1670, 1, PULL0)," & --  PAD27
	"1672 (BC_2, IO_PAD27, input, X)," & --  PAD27
	"1673 (BC_2, *, controlr, 1)," &
	"1674 (BC_2, IO_PAD26, output3, X, 1673, 1, PULL0)," & --  PAD26
	"1675 (BC_2, IO_PAD26, input, X)," & --  PAD26
	"1676 (BC_2, *, controlr, 1)," &
	"1677 (BC_2, IO_PAD25, output3, X, 1676, 1, PULL0)," & --  PAD25
	"1678 (BC_2, IO_PAD25, input, X)," & --  PAD25
	"1679 (BC_2, *, controlr, 1)," &
	"1680 (BC_2, IO_PAD24, output3, X, 1679, 1, PULL0)," & --  PAD24
	"1681 (BC_2, IO_PAD24, input, X)," & --  PAD24
	"1682 (BC_2, *, controlr, 1)," &
	"1683 (BC_2, IO_PAD23, output3, X, 1682, 1, PULL0)," & --  PAD23
	"1684 (BC_2, IO_PAD23, input, X)," & --  PAD23
	"1685 (BC_2, *, controlr, 1)," &
	"1686 (BC_2, IO_PAD22, output3, X, 1685, 1, PULL0)," & --  PAD22
	"1687 (BC_2, IO_PAD22, input, X)," & --  PAD22
	"1688 (BC_2, *, controlr, 1)," &
	"1689 (BC_2, IO_PAD21, output3, X, 1688, 1, PULL0)," & --  PAD21
	"1690 (BC_2, IO_PAD21, input, X)," & --  PAD21
	"1691 (BC_2, *, controlr, 1)," &
	"1692 (BC_2, IO_PAD20, output3, X, 1691, 1, PULL0)," & --  PAD20
	"1693 (BC_2, IO_PAD20, input, X)," & --  PAD20
	"1694 (BC_2, *, controlr, 1)," &
	"1695 (BC_2, IO_PAD19, output3, X, 1694, 1, PULL0)," & --  PAD19
	"1696 (BC_2, IO_PAD19, input, X)," & --  PAD19
	"1697 (BC_2, *, controlr, 1)," &
	"1698 (BC_2, IO_PAD18, output3, X, 1697, 1, PULL0)," & --  PAD18
	"1699 (BC_2, IO_PAD18, input, X)," & --  PAD18
	"1700 (BC_2, *, controlr, 1)," &
	"1701 (BC_2, IO_PAD17, output3, X, 1700, 1, PULL0)," & --  PAD17
	"1702 (BC_2, IO_PAD17, input, X)," & --  PAD17
	"1703 (BC_2, *, controlr, 1)," &
	"1704 (BC_2, IO_PAD16, output3, X, 1703, 1, PULL0)," & --  PAD16
	"1705 (BC_2, IO_PAD16, input, X)," & --  PAD16
	"1706 (BC_2, *, controlr, 1)," &
	"1707 (BC_2, IO_PAD15, output3, X, 1706, 1, PULL0)," & --  PAD15
	"1708 (BC_2, IO_PAD15, input, X)," & --  PAD15
	"1709 (BC_2, *, controlr, 1)," &
	"1710 (BC_2, IO_PAD14, output3, X, 1709, 1, PULL0)," & --  PAD14
	"1711 (BC_2, IO_PAD14, input, X)," & --  PAD14
	"1712 (BC_2, *, controlr, 1)," &
	"1713 (BC_2, IO_PAD13, output3, X, 1712, 1, PULL0)," & --  PAD13
	"1714 (BC_2, IO_PAD13, input, X)," & --  PAD13
	"1715 (BC_2, *, controlr, 1)," &
	"1716 (BC_2, IO_PAD12, output3, X, 1715, 1, PULL0)," & --  PAD12
	"1717 (BC_2, IO_PAD12, input, X)," & --  PAD12
	"1718 (BC_2, *, controlr, 1)," &
	"1719 (BC_2, IO_PAD11, output3, X, 1718, 1, PULL0)," & --  PAD11
	"1720 (BC_2, IO_PAD11, input, X)," & --  PAD11
	"1721 (BC_2, *, controlr, 1)," &
	"1722 (BC_2, IO_PAD10, output3, X, 1721, 1, PULL0)," & --  PAD10
	"1723 (BC_2, IO_PAD10, input, X)," & --  PAD10
	"1724 (BC_2, *, controlr, 1)," &
	"1725 (BC_2, IO_PAD9, output3, X, 1724, 1, PULL0)," & --  PAD9
	"1726 (BC_2, IO_PAD9, input, X)," & --  PAD9
	"1727 (BC_2, *, controlr, 1)," &
	"1728 (BC_2, IO_PAD8, output3, X, 1727, 1, PULL0)," & --  PAD8
	"1729 (BC_2, IO_PAD8, input, X)," & --  PAD8
	"1730 (BC_2, *, controlr, 1)," &
	"1731 (BC_2, IO_PAD7, output3, X, 1730, 1, PULL0)," & --  PAD7
	"1732 (BC_2, IO_PAD7, input, X)," & --  PAD7
	"1733 (BC_2, *, controlr, 1)," &
	"1734 (BC_2, IO_PAD6, output3, X, 1733, 1, PULL0)," & --  PAD6
	"1735 (BC_2, IO_PAD6, input, X)," & --  PAD6
	"1736 (BC_2, *, controlr, 1)," &
	"1737 (BC_2, IO_PAD5, output3, X, 1736, 1, PULL0)," & --  PAD5
	"1738 (BC_2, IO_PAD5, input, X)," & --  PAD5
	"1739 (BC_2, *, controlr, 1)," &
	"1740 (BC_2, IO_PAD4, output3, X, 1739, 1, PULL0)," & --  PAD4
	"1741 (BC_2, IO_PAD4, input, X)," & --  PAD4
	"1742 (BC_2, *, controlr, 1)," &
	"1743 (BC_2, IO_PAD3, output3, X, 1742, 1, PULL0)," & --  PAD3
	"1744 (BC_2, IO_PAD3, input, X)," & --  PAD3
	"1745 (BC_2, *, controlr, 1)," &
	"1746 (BC_2, IO_PAD2, output3, X, 1745, 1, PULL0)," & --  PAD2
	"1747 (BC_2, IO_PAD2, input, X)," & --  PAD2
	"1748 (BC_2, *, controlr, 1)," &
	"1749 (BC_2, IO_PAD1, output3, X, 1748, 1, PULL0)," & --  PAD1
	"1750 (BC_2, IO_PAD1, input, X)"; --  PAD1

	
attribute DESIGN_WARNING of XQR4VFX60 : entity is
        "This is a preliminary BSDL file which has not been verified." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "To avoid power-down, the boundary scan test vectors should keep" &
                "the PWRDWN_B pin high." &
        "To avoid losing the current configuration, the boundary scan" &
                "test vectors should keep the PROG_B pin" &
                "high.  If the PROG_B pin goes low by any means," &
                "the configuration will be cleared." &
 	"PROG_B and PWRDWN_B can be updated.  The boundary register cells marked" &
                "PROG_B and PWRDWN_B must be set to 1 for proper operation." &
        "The disable result of a 3-stated I/O in this file" &
                "correspond to HSWAP_EN being high.  When HSWAP_EN" &
                "is low, change all PULL0s to PULL1." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control value is not captured properly when" &
                "GTS is activated." &
	"For ES silicon, please consult answer record 19865 for" &
		"potential TDO non-compliance." &
	"If the Shift-IR state is entered after a Pause-IR state is used," &
		"then the first bit shifted is always 0. This does not occur if" &
		"the Pause-IR state is not used prior to a Shift-IR state, which" &
		"is not fully compliant with the JTAG 1149.1 specification.";

end XQR4VFX60;