BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: TMS320R2811

------------------------------------------------------------------------
-- File Type      : BSDL Description for Top-Level Entity TMS320R2811 --
------------------------------------------------------------------------
------------------------------------------------------------------------
-- TI TMS320R2811 32-bit 128-pin Fixed-Point DSP with Boundary Scan   --
------------------------------------------------------------------------
--  Supported Devices: TMS320R2811 128-pin Rev C and higher           --
------------------------------------------------------------------------
--  Created by    : Texas Instruments Incorporated                    --
--  Documentation : TMS320C28x Users Guide                            --
--  BSDL Revision : 1.0                                               --
--  Date          : 27 May 2005
--  BSDL status   : TMS                                               --
--                                                                    --
--
--  Initialization Requirements for Boundary Scan Test
--  --------------------------------------------------
--
--  The C281x DSPs use the JTAG port for boundary scan tests, emulation
--  capability and factory test purposes.  To use boundary scan test,
--  the following pin configuration must be used:
--
--      TESTSEL = 0
--      EMU1    = 0
--      EMU0    = 1
--      TRSTn   = 0 -> 1 ( transitioning to a 1 will latch the device into
--                         boundary scan mode )
--
--  TRSTn is a reset to the JTAG state machine (active low), hence it has
--  to be pulled high before any JTAG scans are made. 
--
--  C281x Devices have two taps - one for the CPU and one for boundary scan.
--  The boundary scan IR size is 3 bits.
--
--  Device Pins not testable by Boundary Scan
--  ------------------------------------------
--  The following pins cannot be tested through boundary scan:
--  EMU0, EMU1, X1/XCLKIN, X2, TESTSEL, TEST1, TEST2, and all the analog pins
--
--
--                          IMPORTANT NOTICE                        
--  Texas Instruments Incorporated (TI) reserves the right to make 
--  changes to its products or to discontinue any semiconductor 
--  product or service without notice, and advises its customers to 
--  obtain the latest version of the relevant information to 
--  verify, before placing orders, that the information being 
--  relied on is current.                                  
--  TI warrants performance of its semiconductor products and 
--  related software to the specifications applicable at the time 
--  of sale in accordance with TI's standard warranty. Testing and 
--  other quality control techniques are utilized to the extent TI 
--  deems necessary to support this warranty. Specific testing of 
--  all parameters of each device is not necessarily performed, 
--  except those mandated by government requirements. 
--                                                   
--  Certain applications using semiconductor devices may involve 
--  potential risks of death, personal injury, or severe property 
--  or environmental damage ("Critical Applications").    
--
--    TI SEMICONDUCTOR PRODUCTS ARE NOT DESIGNED, INTENDED, 
--    AUTHORIZED, OR WARRANTED TO BE SUITABLE FOR USE IN 
--    LIFE-SUPPORT APPLICATIONS, DEVICES OR SYSTEMS OR OTHER 
--    CRITICAL APPLICATIONS.                    
--
--  Inclusion of TI products in such applications is understood 
--  to be fully at the risk of the customer.  Use of TI products 
--  in such applications requires the written approval of an 
--  appropriate TI officer. Questions concerning potential risk 
--  applications should be directed to TI through a local SC sales 
--  office.                                                 
--  In order to minimize risks associated with the customer's 
--  applications, adequate design and operating safeguards should 
--  be provided by the       
--  customer to minimize inherent or procedural hazards.     
--
--  TI assumes no liability for applications assistance, customer 
--  product design, software performance, or infringement of 
--  patents or services described herein.  Nor does TI warrant or 
--  represent that any license, either express or implied, is 
--  granted under any patent right, copyright, mask work right, or 
--  other intellectual property right of TI covering or relating 
--  to any combination, machine, or process in which such 
--  semiconductor products or services might be or are used.
--            Copyright (c) 2000, Texas Instruments Incorporated 
------------------------------------------------------------------------



 entity TMS320R2811 is 

    generic(PHYSICAL_PIN_MAP : string := "PBK"); 

    port (
              VDDAIO                : linkage       bit;
              VDDA1                 : linkage       bit;
              VSSA1                 : linkage       bit;
              VDD                   : linkage       bit_vector(9 downto 0);
              VSS                   : linkage       bit_vector(11 downto 0);
              VDDIO                 : linkage       bit_vector(3 downto 0);
              VDDIO               : linkage       bit;
              VDD1                  : linkage       bit;
              VSS1                  : linkage       bit;
              VDDA2                 : linkage       bit;
              VSSA2                 : linkage       bit;
              VSSAIO                : linkage       bit;
              ADCINA                : linkage       bit_vector(7 downto 0);
              ADCINB                : linkage       bit_vector(7 downto 0);
              ADCLO                 : linkage       bit;
              ADCREFM               : linkage       bit;
              ADCREFP               : linkage       bit;
              ADCRESEXT             : linkage       bit;
              AVSSREFBG             : linkage       bit;
              AVDDREFBG             : linkage       bit;
              ADCBGREFIN            : linkage       bit;
              C3TRIPn               : inout         bit;
              C2TRIPn               : inout         bit;
              C1TRIPn               : inout         bit;
              TCLKINA               : inout         bit;
              TDIRA                 : inout         bit;
              CAP3_QEPI1            : inout         bit;
              CAP2_QEP2             : inout         bit;
              CAP1_QEP1             : inout         bit;
              T2PWM_T2CMP           : inout         bit;
              T1PWM_T1CMP           : inout         bit;
              PWM6                  : inout         bit;
              PWM5                  : inout         bit;
              PWM4                  : inout         bit;
              PWM3                  : inout         bit;
              PWM2                  : inout         bit;
              PWM1                  : inout         bit;
              C6TRIPn               : inout         bit;
              C5TRIPn               : inout         bit;
              C4TRIPn               : inout         bit;
              TCLKINB               : inout         bit;
              TDIRB                 : inout         bit;
              CAP6_QEPI2            : inout         bit;
              CAP5_QEP4             : inout         bit;
              CAP4_QEP3             : inout         bit;
              T4PWM_T4CMP           : inout         bit;
              T3PWM_T3CMP           : inout         bit;
              PWM12                 : inout         bit;
              PWM11                 : inout         bit;
              PWM10                 : inout         bit;
              PWM9                  : inout         bit;
              PWM8                  : inout         bit;
              PWM7                  : inout         bit;
              T1CTRIPn_PDPINTAn     : inout         bit;
              T2CTRIPn_EVASOCn      : inout         bit;
              T3CTRIPn_PDPINTBn     : inout         bit;
              T4CTRIPn_EVBSOCn      : inout         bit;
              XINT1_XBIOn           : inout         bit;
              XINT2_ADCSOC          : inout         bit;
              XNMI_XINT13           : inout         bit;
              XF_XPLLDISn           : inout         bit;
              MDRA                  : inout         bit;
              MDXA                  : inout         bit;
              MFSRA                 : inout         bit;
              MFSXA                 : inout         bit;
              MCLKRA                : inout         bit;
              MCLKXA                : inout         bit;
              CANRXA                : inout         bit;
              CANTXA                : inout         bit;
              SCIRXDA               : inout         bit;
              SCITXDA               : inout         bit;
              SPISTEA               : inout         bit;
              SPICLKA               : inout         bit;
              SPISOMIA              : inout         bit;
              SPISIMOA              : inout         bit;
              SCITXDB               : inout         bit;
              SCIRXDB               : inout         bit;
              TESTSEL               : in            bit;
              X1_XCLKIN             : linkage       bit;
              X2                    : linkage       bit;
              XCLKOUT               : out           bit;
              XRSn                  : inout         bit;
              TDI                   : in            bit;
              TMS                   : in            bit;
              TCK                   : in            bit;
              TDO                   : out           bit;
              TRSTn                 : in            bit;
              EMU0                  : in            bit;
              EMU1                  : in            bit;
              TEST1                 : linkage       bit;
              TEST2                 : linkage       bit
         ); 

    use STD_1149_1_1994.all; -- Get IEEE 1149.1-1994 attributes and definitions 

    attribute COMPONENT_CONFORMANCE of TMS320R2811 : entity is "STD_1149_1_1993";

    attribute PIN_MAP of TMS320R2811 : entity is PHYSICAL_PIN_MAP; 

    constant PBK : PIN_MAP_STRING := 
       "VDDAIO:1,"&
       "VDDA1:14,"&
       "VSSA1:15,"&
       "VDD: (20,29,42,56,63,74,82,94,102,110)," &
       "VSS: (17,26,30,39,53,59,62,73,88,95,103,109)," &
       "VDDIO: (25,49,83,104)," &
       "VDDIO:52," &				
       "VDD1:114," &
       "VSS1:115," &
       "VDDA2:118," &
       "VSSA2:117," &
       "VSSAIO:128," & 
       "ADCINA: (119,120,121,122,123,124,125,126)," & 
       "ADCINB: (9,8,7,6,5,4,3,2)," &
       "ADCLO: 127," & 
       "ADCREFM: 10," & 
       "ADCREFP: 11," & 
       "ADCRESEXT: 16," & 
       "AVSSREFBG: 12," &
       "AVDDREFBG:13," &
       "ADCBGREFIN: 116," &
       "C3TRIPn: 91," & 
       "C2TRIPn: 90," & 
       "C1TRIPn: 89," & 
       "TCLKINA: 86," & 
       "TDIRA: 85," & 
       "CAP3_QEPI1: 80," & 
       "CAP2_QEP2: 79," & 
       "CAP1_QEP1: 78," & 
       "T2PWM_T2CMP: 77," & 
       "T1PWM_T1CMP: 76," & 
       "PWM6: 75," & 
       "PWM5: 72," & 
       "PWM4: 71," & 
       "PWM3: 70," & 
       "PWM2: 69," & 
       "PWM1: 68," & 
       "C6TRIPn: 48," & 
       "C5TRIPn: 47," & 
       "C4TRIPn: 46," & 
       "TCLKINB: 55," & 
       "TDIRB: 54," & 
       "CAP6_QEPI2: 45," & 
       "CAP5_QEP4: 44," & 
       "CAP4_QEP3: 43," & 
       "T4PWM_T4CMP: 41," & 
       "T3PWM_T3CMP: 40," & 
       "PWM12: 38," & 
       "PWM11: 37," & 
       "PWM10: 36," & 
       "PWM9: 35," & 
       "PWM8: 34," & 
       "PWM7: 33," & 
       "T1CTRIPn_PDPINTAn: 81," & 
       "T2CTRIPn_EVASOCn: 84," & 
       "T3CTRIPn_PDPINTBn: 60," & 
       "T4CTRIPn_EVBSOCn: 61," & 
       "XINT1_XBIOn: 106," & 
       "XINT2_ADCSOC: 108," & 
       "XNMI_XINT13: 107," & 
       "XF_XPLLDISn: 101," & 
       "MDRA: 18," & 
       "MDXA: 19," & 
       "MFSRA: 24," & 
       "MFSXA: 22," & 
       "MCLKRA: 21," & 
       "MCLKXA: 23," & 
       "CANRXA: 65," & 
       "CANTXA: 64," & 
       "SCIRXDA: 112," & 
       "SCITXDA: 111," & 
       "SPISTEA: 28," & 
       "SPICLKA: 27," & 
       "SPISOMIA: 32," & 
       "SPISIMOA: 31," & 
       "SCITXDB: 66," & 
       "SCIRXDB: 67," & 
       "TESTSEL: 97," & 
       "X1_XCLKIN: 58," & 
       "X2: 57," & 
       "XCLKOUT: 87," & 
       "XRSn: 113," & 
       "TDI: 96," & 
       "TMS: 92," & 
       "TCK: 99," & 
       "TDO: 93," & 
       "TRSTn: 98," & 
       "EMU0: 100," & 
       "EMU1: 105," & 
       "TEST1: 51 ," & 
       "TEST2: 50";  

    attribute TAP_SCAN_IN of TDI : signal is true; 
    attribute TAP_SCAN_MODE of TMS : signal is true; 
    attribute TAP_SCAN_OUT of TDO : signal is true; 
    attribute TAP_SCAN_CLOCK of TCK : signal is (20.0e6, BOTH); 
    attribute TAP_SCAN_RESET of TRSTn : signal is true; 

    attribute COMPLIANCE_PATTERNS of TMS320R2811 : entity is "(TESTSEL,EMU1,EMU0)(001)";

    attribute INSTRUCTION_LENGTH of TMS320R2811 : entity is 3;
    attribute INSTRUCTION_OPCODE of TMS320R2811 : entity is 
        "extest (000),"  & 
        "bypass (111),"  & 
        "sample (001),"  & 
        "idcode (100)"; 

    attribute INSTRUCTION_CAPTURE of TMS320R2811 : entity is "001";
    attribute IDCODE_REGISTER of TMS320R2811 : entity is 
        "0001"  &                -- Version Number 
        "1011010110011110"  &    -- Part Number 
        "00000010111"  &         -- Manufacturer ID 
        "1";                     -- Required by IEEE Std. 1149.1-1990 


    attribute REGISTER_ACCESS of TMS320R2811 : entity is 
        "BOUNDARY (extest, sample), " & 
        "DEVICE_ID (idcode), " & 
        "BYPASS (bypass)"; 

    attribute BOUNDARY_LENGTH of TMS320R2811 : entity is 237;
    attribute BOUNDARY_REGISTER of TMS320R2811 : entity is 
-- num                   cell                 port      function   safe  [ccell  disval  rslt]
       "0    (           bc_4,                   *,     internal,     X)," & 
       "1    (           bc_1,                   *,     internal,     X)," & 
       "2    (           bc_1,                   *,     internal,     1)," & 
       "3    (           bc_4,                MDRA,        input,     X)," & 
       "4    (           bc_1,                MDRA,      output3,     X,    5,      1,    PULL1)," & 
       "5    (           bc_1,                   *,      control,     1)," & 
       "6    (           bc_4,                   *,     internal,     X)," & 
       "7    (           bc_1,                   *,     internal,     X)," & 
       "8    (           bc_1,                   *,     internal,     1)," & 
       "9    (           bc_4,                MDXA,        input,     X)," & 
       "10   (           bc_1,                MDXA,      output3,     X,     11,      1,    Z)," & 
       "11   (           bc_1,                   *,      control,     1)," & 
       "12   (           bc_4,                   *,     internal,     X)," & 
       "13   (           bc_1,                   *,     internal,     X)," & 
       "14   (           bc_4,              MCLKRA,        input,     X)," & 
       "15   (           bc_1,              MCLKRA,      output3,     X,    16,      1,    PULL1)," & 
       "16   (           bc_1,                   *,      control,     1)," & 
       "17   (           bc_4,               MFSXA,        input,     X)," & 
       "18   (           bc_1,               MFSXA,      output3,     X,     19,      1,   PULL1)," & 
       "19   (           bc_1,                   *,      control,     1)," & 
       "20   (           bc_4,                   *,     internal,     X)," & 
       "21   (           bc_1,                   *,     internal,     X)," & 
       "22   (           bc_4,              MCLKXA,        input,     X)," & 
       "23   (           bc_1,              MCLKXA,      output3,     X,    24,      1,    PULL1)," & 
       "24   (           bc_1,                   *,      control,     1)," & 
       "25   (           bc_4,               MFSRA,        input,     X)," & 
       "26   (           bc_1,               MFSRA,      output3,     X,    27,      1,    PULL1)," & 
       "27   (           bc_1,                   *,      control,     1)," & 
       "28   (           bc_4,                   *,     internal,     X)," & 
       "29   (           bc_1,                   *,     internal,     X)," & 
       "30   (           bc_4,                   *,     internal,     X)," & 
       "31   (           bc_1,                   *,     internal,     X)," & 
       "32   (           bc_4,             SPICLKA,        input,     X)," & 
       "33   (           bc_1,             SPICLKA,      output3,     X,    34,     1,    Z)," & 
       "34   (           bc_1,                   *,      control,     1)," & 
       "35   (           bc_4,             SPISTEA,        input,     X)," & 
       "36   (           bc_1,             SPISTEA,      output3,     X,     37,      1,    Z)," & 
       "37   (           bc_1,                   *,      control,     1)," & 
       "38   (           bc_4,                   *,     internal,     X)," & 
       "39   (           bc_1,                   *,     internal,     X)," & 
       "40   (           bc_4,                   *,     internal,     X)," & 
       "41   (           bc_1,                   *,     internal,     X)," & 
       "42   (           bc_4,            SPISIMOA,        input,     X)," & 
       "43   (           bc_1,            SPISIMOA,      output3,     X,     44,      1,    Z)," & 
       "44   (           bc_1,                   *,      control,     1)," & 
       "45   (           bc_4,            SPISOMIA,        input,     X)," & 
       "46   (           bc_1,            SPISOMIA,      output3,     X,     47,      1,    Z)," & 
       "47   (           bc_1,                   *,      control,     1)," & 
       "48   (           bc_1,                   *,     internal,     X)," & 
       "49   (           bc_1,                   *,     internal,     1)," & 
       "50   (           bc_1,                   *,     internal,     X)," & 
       "51   (           bc_1,                   *,     internal,     X)," & 
       "52   (           bc_4,                PWM7,        input,     X)," & 
       "53   (           bc_1,                PWM7,      output3,     X,    54,      1,    PULL1)," & 
       "54   (           bc_1,                   *,      control,     1)," & 
       "55   (           bc_4,                PWM8,        input,     X)," & 
       "56   (           bc_1,                PWM8,      output3,     X,    57,      1,    PULL1)," & 
       "57   (           bc_1,                   *,      control,     1)," & 
       "58   (           bc_4,                PWM9,        input,     X)," & 
       "59   (           bc_1,                PWM9,      output3,     X,    60,      1,    PULL1)," & 
       "60   (           bc_1,                   *,      control,     1)," & 
       "61   (           bc_4,               PWM10,        input,     X)," & 
       "62   (           bc_1,               PWM10,      output3,     X,    63,      1,    PULL1)," & 
       "63   (           bc_1,                   *,      control,     1)," & 
       "64   (           bc_4,               PWM11,        input,     X)," & 
       "65   (           bc_1,               PWM11,      output3,     X,    66,      1,    PULL1)," & 
       "66   (           bc_1,                   *,      control,     1)," & 
       "67   (           bc_4,               PWM12,        input,     X)," & 
       "68   (           bc_1,               PWM12,      output3,     X,    69,      1,    PULL1)," & 
       "69   (           bc_1,                   *,      control,     1)," & 
       "70   (           bc_1,                   *,     internal,     X)," & 
       "71   (           bc_4,         T3PWM_T3CMP,        input,     X)," & 
       "72   (           bc_1,         T3PWM_T3CMP,      output3,     X,     73,      1,    PULL1)," & 
       "73   (           bc_1,                   *,      control,     1)," & 
       "74   (           bc_4,                   *,     internal,     X)," & 
       "75   (           bc_1,                   *,     internal,     X)," & 
       "76   (           bc_4,         T4PWM_T4CMP,        input,     X)," & 
       "77   (           bc_1,         T4PWM_T4CMP,      output3,     X,     78,      1,    PULL1)," & 
       "78   (           bc_1,                   *,      control,     1)," & 
       "79   (           bc_4,           CAP4_QEP3,        input,     X)," & 
       "80   (           bc_1,           CAP4_QEP3,      output3,     X,     81,      1,    PULL1)," & 
       "81   (           bc_1,                   *,      control,     1)," & 
       "82   (           bc_4,           CAP5_QEP4,        input,     X)," & 
       "83   (           bc_1,           CAP5_QEP4,      output3,     X,     84,      1,    PULL1)," & 
       "84   (           bc_1,                   *,      control,     1)," & 
       "85   (           bc_4,          CAP6_QEPI2,        input,     X)," & 
       "86   (           bc_1,          CAP6_QEPI2,      output3,     X,     87,      1,    PULL1)," & 
       "87   (           bc_1,                   *,      control,     1)," & 
       "88   (           bc_4,             C4TRIPn,        input,     X)," & 
       "89   (           bc_1,             C4TRIPn,      output3,     X,     90,      1,    PULL1)," & 
       "90   (           bc_1,                   *,      control,     1)," & 
       "91   (           bc_4,             C5TRIPn,        input,     X)," & 
       "92   (           bc_1,             C5TRIPn,      output3,     X,     93,      1,    PULL1)," & 
       "93   (           bc_1,                   *,      control,     1)," & 
       "94   (           bc_4,             C6TRIPn,        input,     X)," & 
       "95   (           bc_1,             C6TRIPn,      output3,     X,     96,      1,    PULL1)," & 
       "96   (           bc_1,                   *,      control,     1)," & 
       "97   (           bc_4,                   *,     internal,     X)," & 
       "98   (           bc_1,                   *,     internal,     X)," & 
       "99   (           bc_4,                   *,     internal,     X)," & 
       "100  (           bc_1,                   *,     internal,     X)," & 
       "101  (           bc_4,               TDIRB,        input,     X)," & 
       "102  (           bc_1,               TDIRB,      output3,     X,    103,      1,    PULL1)," & 
       "103  (           bc_1,                   *,      control,     1)," & 
       "104  (           bc_4,             TCLKINB,        input,     X)," & 
       "105  (           bc_1,             TCLKINB,      output3,     X,    106,      1,    PULL1)," & 
       "106  (           bc_1,                   *,      control,     1)," & 
       "107  (           bc_4,                   *,     internal,     X)," & 
       "108  (           bc_1,                   *,     internal,     X)," & 
       "109  (           bc_4,                   *,     internal,     X)," & 
       "110  (           bc_1,                   *,     internal,     X)," & 
       "111  (           bc_4,   T3CTRIPn_PDPINTBn,        input,     X)," & 
       "112  (           bc_1,   T3CTRIPn_PDPINTBn,      output3,     X,    113,      1,    PULL1)," & 
       "113  (           bc_1,                   *,      control,     1)," & 
       "114  (           bc_1,                   *,     internal,     X)," & 
       "115  (           bc_1,                   *,     internal,     X)," & 
       "116  (           bc_1,                   *,      control,     1)," & 
       "117  (           bc_4,    T4CTRIPn_EVBSOCn,        input,     X)," & 
       "118  (           bc_1,    T4CTRIPn_EVBSOCn,      output3,     X,    119,      1,    PULL1)," & 
       "119  (           bc_1,                   *,      control,     1)," & 
       "120  (           bc_1,                   *,     internal,     X)," & 
       "121  (           bc_1,                   *,     internal,     X)," & 
       "122  (           bc_4,              CANTXA,        input,     X)," & 
       "123  (           bc_1,              CANTXA,      output3,     X,    124,      1,    PULL1)," & 
       "124  (           bc_1,                   *,      control,     1)," & 
       "125  (           bc_1,                   *,     internal,     X)," & 
       "126  (           bc_4,              CANRXA,        input,     X)," & 
       "127  (           bc_1,              CANRXA,      output3,     X,    128,      1,    PULL1)," & 
       "128  (           bc_1,                   *,      control,     1)," & 
       "129  (           bc_4,             SCITXDB,        input,     X)," & 
       "130  (           bc_1,             SCITXDB,      output3,     X,    131,      1,    Z)," & 
       "131  (           bc_1,                   *,      control,     1)," & 
       "132  (           bc_4,             SCIRXDB,        input,     X)," & 
       "133  (           bc_1,             SCIRXDB,      output3,     X,    134,      1,    Z)," & 
       "134  (           bc_1,                   *,      control,     1)," & 
       "135  (           bc_4,                PWM1,        input,     X)," & 
       "136  (           bc_1,                PWM1,      output3,     X,    137,      1,    PULL1)," & 
       "137  (           bc_1,                   *,      control,     1)," & 
       "138  (           bc_4,                PWM2,        input,     X)," & 
       "139  (           bc_1,                PWM2,      output3,     X,    140,      1,    PULL1)," & 
       "140  (           bc_1,                   *,      control,     1)," & 
       "141  (           bc_4,                PWM3,        input,     X)," & 
       "142  (           bc_1,                PWM3,      output3,     X,    143,      1,    PULL1)," & 
       "143  (           bc_1,                   *,      control,     1)," & 
       "144  (           bc_4,                PWM4,        input,     X)," & 
       "145  (           bc_1,                PWM4,      output3,     X,    146,      1,    PULL1)," & 
       "146  (           bc_1,                   *,      control,     1)," & 
       "147  (           bc_4,                   *,     internal,     X)," & 
       "148  (           bc_1,                   *,     internal,     X)," & 
       "149  (           bc_4,                   *,     internal,     X)," & 
       "150  (           bc_1,                   *,     internal,     X)," & 
       "151  (           bc_4,                PWM5,        input,     X)," & 
       "152  (           bc_1,                PWM5,      output3,     X,    153,      1,    PULL1)," & 
       "153  (           bc_1,                   *,      control,     1)," & 
       "154  (           bc_4,                PWM6,        input,     X)," & 
       "155  (           bc_1,                PWM6,      output3,     X,    156,      1,    PULL1)," & 
       "156  (           bc_1,                   *,      control,     1)," & 
       "157  (           bc_4,         T1PWM_T1CMP,        input,     X)," & 
       "158  (           bc_1,         T1PWM_T1CMP,      output3,     X,    159,      1,    PULL1)," & 
       "159  (           bc_1,                   *,      control,     1)," & 
       "160  (           bc_1,                   *,     internal,     X)," & 
       "161  (           bc_4,         T2PWM_T2CMP,        input,     X)," & 
       "162  (           bc_1,         T2PWM_T2CMP,      output3,     X,    163,      1,    PULL1)," & 
       "163  (           bc_1,                   *,      control,     1)," & 
       "164  (           bc_4,           CAP1_QEP1,        input,     X)," & 
       "165  (           bc_1,           CAP1_QEP1,      output3,     X,    166,      1,    PULL1)," & 
       "166  (           bc_1,                   *,      control,     1)," & 
       "167  (           bc_4,           CAP2_QEP2,        input,     X)," & 
       "168  (           bc_1,           CAP2_QEP2,      output3,     X,    169,      1,    PULL1)," & 
       "169  (           bc_1,                   *,      control,     1)," & 
       "170  (           bc_1,                   *,     internal,     X)," & 
       "171  (           bc_4,          CAP3_QEPI1,        input,     X)," & 
       "172  (           bc_1,          CAP3_QEPI1,      output3,     X,    173,      1,    PULL1)," & 
       "173  (           bc_1,                   *,      control,     1)," & 
       "174  (           bc_4,   T1CTRIPn_PDPINTAn,        input,     X)," & 
       "175  (           bc_1,   T1CTRIPn_PDPINTAn,      output3,     X,    176,      1,    PULL1)," & 
       "176  (           bc_1,                   *,      control,     1)," & 
       "177  (           bc_1,                   *,     internal,     X)," & 
       "178  (           bc_4,    T2CTRIPn_EVASOCn,        input,     X)," & 
       "179  (           bc_1,    T2CTRIPn_EVASOCn,      output3,     X,    180,      1,    PULL1)," & 
       "180  (           bc_1,                   *,      control,     1)," & 
       "181  (           bc_4,               TDIRA,        input,     X)," & 
       "182  (           bc_1,               TDIRA,      output3,     X,    183,      1,    PULL1)," & 
       "183  (           bc_1,                   *,      control,     1)," & 
       "184  (           bc_4,             TCLKINA,        input,     X)," & 
       "185  (           bc_1,             TCLKINA,      output3,     X,    186,      1,    PULL1)," & 
       "186  (           bc_1,                   *,      control,     1)," & 
       "187  (           bc_1,                   *,     internal,     X)," & 
       "188  (           bc_1,             XCLKOUT,      output3,     X,    116,      1,    Z)," & 
       "189  (           bc_1,                   *,     internal,     X)," & 
       "190  (           bc_4,             C1TRIPn,        input,     X)," & 
       "191  (           bc_1,             C1TRIPn,      output3,     X,    192,      1,    PULL1)," & 
       "192  (           bc_1,                   *,      control,     1)," & 
       "193  (           bc_4,             C2TRIPn,        input,     X)," & 
       "194  (           bc_1,             C2TRIPn,      output3,     X,    195,      1,    PULL1)," & 
       "195  (           bc_1,                   *,      control,     1)," & 
       "196  (           bc_4,             C3TRIPn,        input,     X)," & 
       "197  (           bc_1,             C3TRIPn,      output3,     X,    198,      1,    PULL1)," & 
       "198  (           bc_1,                   *,      control,     1)," & 
       "199  (           bc_1,                   *,     internal,     X)," & 
       "200  (           bc_1,                   *,     internal,     X)," & 
       "201  (           bc_1,                   *,     internal,     X)," & 
       "202  (           bc_1,                   *,     internal,     X)," & 
       "203  (           bc_1,                   *,     internal,     X)," & 
       "204  (           bc_4,                   *,     internal,     X)," & 
       "205  (           bc_1,                   *,     internal,     X)," & 
       "206  (           bc_4,         XF_XPLLDISn,        input,     X)," & 
       "207  (           bc_1,         XF_XPLLDISn,      output3,     X,    208,      1,    PULL1)," & 
       "208  (           bc_1,                   *,      control,     1)," & 
       "209  (           bc_1,                   *,     internal,     X)," & 
       "210  (           bc_1,                   *,     internal,     X)," & 
       "211  (           bc_4,                   *,     internal,     X)," & 
       "212  (           bc_1,                   *,     internal,     X)," & 
       "213  (           bc_1,                   *,     internal,     X)," & 
       "214  (           bc_4,          XINT1_XBIOn,       input,     X)," & 
       "215  (           bc_1,          XINT1_XBIOn,     output3,     X,    216,      1,    Z)," & 
       "216  (           bc_1,                   *,      control,     1)," & 
       "217  (           bc_4,          XNMI_XINT13,       input,     X)," & 
       "218  (           bc_1,          XNMI_XINT13,     output3,     X,    219,      1,    PULL1)," & 
       "219  (           bc_1,                   *,      control,     1)," & 
       "220  (           bc_4,         XINT2_ADCSOC,       input,     X)," & 
       "221  (           bc_1,         XINT2_ADCSOC,     output3,     X,    222,      1,    Z)," & 
       "222  (           bc_1,                   *,      control,     1)," & 
       "223  (           bc_1,                   *,     internal,     X)," & 
       "224  (           bc_4,             SCITXDA,        input,     X)," & 
       "225  (           bc_1,             SCITXDA,      output3,     X,    226,      1,    PULL1)," & 
       "226  (           bc_1,                   *,      control,     1)," & 
       "227  (           bc_1,                   *,     internal,     X)," & 
       "228  (           bc_4,             SCIRXDA,        input,     X)," & 
       "229  (           bc_1,             SCIRXDA,      output3,     X,    230,      1,    PULL1)," & 
       "230  (           bc_1,                   *,      control,     1)," & 
       "231  (           bc_1,                   *,     internal,     X)," & 
       "232  (           bc_4,                   *,     internal,     X)," & 
       "233  (           bc_4,                   *,     internal,     X)," &  
       "234  (           bc_1,                XRSn,      output3,     X,    235,      1,    PULL1)," & 
       "235  (           bc_1,                   *,      control,     1)," & 
       "236  (           bc_4,                XRSn,        input,     X)"; 

 end TMS320R2811;