BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XC5VLX30_FF324

--$ XILINX$RCSfile: xc5vlx30_ff324.bsd,v $
--$ XILINX$Revision: 1.9 $
--
-- BSDL file for device XC5VLX30, package FF324
-- Xilinx, Inc. $State: PRELIMINARY $ $Date: 2007-12-19 15:28:52-08 $
-- Generated by bsdlnet Version 1.32
------------------------------------------------------------------------
-- Modification History
-- | Generated on 12/02/06
-- | CR # N/A
-- | Details -  Initial Release
------------------------------------------------------------------------
-- | Generated on 01/30/06
-- | CR # N/A
-- | Details -  Corrected family and idcodes.
------------------------------------------------------------------------
-- | Generated on 05/25/06
-- | CR # N/A
-- | Details -  Updated MGTVREF pin to a NO CONNECT.
------------------------------------------------------------------------
-- | Generated on 06/30/06
-- | CR # N/A
-- | Details -  Changed all AC_1 pins to AC_2.
-- |            Converted INTEST to INTEST_RSVD.
------------------------------------------------------------------------
-- | Generated on 08/18/06
-- | CR # N/A
-- | Details -  Changed all MGTTX* pins from linkage to buffer.
-- |            Added MGTTX* to diff pins section.
-- |            Added AIO section.
------------------------------------------------------------------------
-- | Generated on 08/30/06
-- | CR # N/A
-- | Details -  Changed text re: IOB input levels for boundary scan.
-- |		Converted AC_2 to BC_2 for unbonded case.
------------------------------------------------------------------------
-- | Generated on 10/27/06
-- | CR # N/A
-- | Details -  Added fxt & lx220t devices, also updated bit stream size
-- |		values for most devices (applies to 1532 type only).
------------------------------------------------------------------------
-- | Generated on 12/13/06
-- | CR # N/A
-- | Details -  Changed AIO section to reflect pre-configured die.
------------------------------------------------------------------------
-- | Generated on 02/22/07
-- | CR # N/A
-- | Details -  Added back fuse instructions.
------------------------------------------------------------------------
-- | Generated on 05/02/07
-- | CR # N/A
-- | Details -  Changed to 1149.1 since 1149.6 not supported for V5.
------------------------------------------------------------------------
-- | Generated on 08/14/07
-- | CR # 440168
-- | Details -  Changed ISC_SECURITY names and removed attribute;
-- |		1) Changed ISC_SECURITY_DATA to ISC_KEY_DATA
-- |		2) Changed ISC_PROGRAM_SECURITY to ISC_PROGRAM_KEY
-- |		3) Removed ISC_SECURITY attribute definition (6 lines)
------------------------------------------------------------------------
-- | Generated on 12/14/07
-- | CR # N/A
-- | Details -  Changed MGTTX/RX pins to linkage bits and corresponding
-- |            BC_4 to BC_1 internal.
------------------------------------------------------------------------
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- or contact our technical support at:
--
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	+44 870 7350 610	eurosupport@xilinx.com
--	France		(33) 1 3463 0100	eurosupport@xilinx.com
--	Germany		(49) 89 991 54930	eurosupport@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- To prevent losing the current configuration, the boundary scan
-- test vectors should keep the PROG_B pin high.
--
-- PROG_B can only be captured, not updated.  The value
-- at the pin is always used by the device.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an CMOS
-- input buffer. In order to properly capture a logic high value at one
-- of these IOBs into its input boundary scan cell, please refer to the
-- datasheet and user guide for proper input levels.
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- The disable result of a 3-stated I/O in this file correspond
-- to HSWAP_EN being high.  If HSWAP_EN is low, every PULL0 should
-- be changed to PULL1.

----------------------------------

-- BSDL File for P1149.1 Standard.

----------------------------------

entity XC5VLX30_FF324 is

-- Generic Parameter

generic (PHYSICAL_PIN_MAP : string := "FF324" );

-- Logical Port Description

port (
	AVDD_H10: linkage bit;
	AVSS_H9: linkage bit;
	CCLK_N8: inout bit;
	CS_B_R16: in bit;
	DONE_P8: inout bit;
	DOUT_BUSY_T6: out bit;
	D_IN_R7: in bit;
	GND: linkage bit_vector (1 to 44);
	HSWAP_EN_T17: in bit;
	INIT_B_M8: inout bit;
	M0_N12: in bit;
	M1_L11: in bit;
	M2_N13: in bit;
	PROG_B: in bit;
	RDWR_B_P15: in bit;
	R_FUSE_P14: linkage bit;
	TCK: in bit;
	TDI: in bit;
	TDN_L9: linkage bit;
	TDO: out bit;
	TDP_L10: linkage bit;
	TMS: in bit;
	VBATT_T18: linkage bit;
	VCCAUX: linkage bit_vector (1 to 5);
	VCCINT: linkage bit_vector (1 to 7);
	VCCO0: linkage bit_vector (1 to 2);
	VCCO1: linkage bit_vector (1 to 2);
	VCCO11: linkage bit_vector (1 to 3);
	VCCO12: linkage bit_vector (1 to 3);
	VCCO13: linkage bit_vector (1 to 2);
	VCCO18: linkage bit_vector (1 to 3);
	VCCO2: linkage bit_vector (1 to 2);
	VCCO3: linkage bit_vector (1 to 2);
	VCCO4: linkage bit_vector (1 to 2);
	VFS_R14: linkage bit;
	VN_K9: linkage bit;
	VP_J10: linkage bit;
	VREFN_J9: linkage bit;
	VREFP_K10: linkage bit;
	IO_A1: inout bit; --  PAD301
	IO_A2: inout bit; --  PAD302
	IO_A3: inout bit; --  PAD291
	IO_A4: inout bit; --  PAD288
	IO_A6: inout bit; --  PAD173
	IO_A7: inout bit; --  PAD174
	IO_A8: inout bit; --  PAD161
	IO_A9: inout bit; --  PAD162
	IO_A11: inout bit; --  PAD179
	IO_A12: inout bit; --  PAD180
	IO_A13: inout bit; --  PAD43
	IO_A14: inout bit; --  PAD44
	IO_A16: inout bit; --  PAD48
	IO_A17: inout bit; --  PAD51
	IO_A18: inout bit; --  PAD52
	IO_B1: inout bit; --  PAD306
	IO_B3: inout bit; --  PAD292
	IO_B4: inout bit; --  PAD287
	IO_B5: inout bit; --  PAD283
	IO_B6: inout bit; --  PAD177
	IO_B8: inout bit; --  PAD170
	IO_B9: inout bit; --  PAD163
	IO_B10: inout bit; --  PAD164
	IO_B11: inout bit; --  PAD175
	IO_B13: inout bit; --  PAD54
	IO_B14: inout bit; --  PAD45
	IO_B15: inout bit; --  PAD46
	IO_B16: inout bit; --  PAD47
	IO_B18: inout bit; --  PAD55
	IO_C1: inout bit; --  PAD305
	IO_C2: inout bit; --  PAD300
	IO_C3: inout bit; --  PAD295
	IO_C5: inout bit; --  PAD284
	IO_C6: inout bit; --  PAD200
	IO_C7: inout bit; --  PAD178
	IO_C8: inout bit; --  PAD169
	IO_C10: inout bit; --  PAD172
	IO_C11: inout bit; --  PAD176
	IO_C12: inout bit; --  PAD189
	IO_C13: inout bit; --  PAD53
	IO_C15: inout bit; --  PAD41
	IO_C16: inout bit; --  PAD42
	IO_C17: inout bit; --  PAD56
	IO_C18: inout bit; --  PAD66
	IO_D2: inout bit; --  PAD299
	IO_D3: inout bit; --  PAD296
	IO_D4: inout bit; --  PAD286
	IO_D5: inout bit; --  PAD285
	IO_D7: inout bit; --  PAD199
	IO_D8: inout bit; --  PAD195
	IO_D9: inout bit; --  PAD166
	IO_D10: inout bit; --  PAD171
	IO_D12: inout bit; --  PAD186
	IO_D13: inout bit; --  PAD190
	IO_D14: inout bit; --  PAD49
	IO_D15: inout bit; --  PAD63
	IO_D17: inout bit; --  PAD62
	IO_D18: inout bit; --  PAD65
	IO_E1: inout bit; --  PAD310
	IO_E2: inout bit; --  PAD317
	IO_E4: inout bit; --  PAD290
	IO_E5: inout bit; --  PAD289
	IO_E6: inout bit; --  PAD281
	IO_E7: inout bit; --  PAD196
	IO_E9: inout bit; --  PAD165
	IO_E10: inout bit; --  PAD167
	IO_E11: inout bit; --  PAD168
	IO_E12: inout bit; --  PAD185
	IO_E14: inout bit; --  PAD50
	IO_E15: inout bit; --  PAD64
	IO_E16: inout bit; --  PAD60
	IO_E17: inout bit; --  PAD61
	IO_F1: inout bit; --  PAD309
	IO_F2: inout bit; --  PAD318
	IO_F3: inout bit; --  PAD308
	IO_F4: inout bit; --  PAD304
	IO_F6: inout bit; --  PAD282
	IO_F7: inout bit; --  PAD192
	IO_F8: inout bit; --  PAD187
	IO_F9: inout bit; --  PAD184
	IO_F11: inout bit; --  PAD181
	IO_F12: inout bit; --  PAD193
	IO_F13: inout bit; --  PAD194
	IO_F14: inout bit; --  PAD57
	IO_F16: inout bit; --  PAD59
	IO_F17: inout bit; --  PAD70
	IO_F18: inout bit; --  PAD69
	IO_G1: inout bit; --  PAD319
	IO_G3: inout bit; --  PAD307
	IO_G4: inout bit; --  PAD303
	IO_G5: inout bit; --  PAD293
	IO_G6: inout bit; --  PAD294
	IO_G8: inout bit; --  PAD191
	IO_G9: inout bit; --  PAD188
	IO_G10: inout bit; --  PAD183
	IO_G11: inout bit; --  PAD182
	IO_G13: inout bit; --  PAD197
	IO_G14: inout bit; --  PAD58
	IO_G15: inout bit; --  PAD68
	IO_G16: inout bit; --  PAD72
	IO_G18: inout bit; --  PAD74
	IO_H1: inout bit; --  PAD320
	IO_H2: inout bit; --  PAD315
	IO_H3: inout bit; --  PAD313
	IO_H5: inout bit; --  PAD298
	IO_H6: inout bit; --  PAD297
	IO_H13: inout bit; --  PAD198
	IO_H15: inout bit; --  PAD67
	IO_H16: inout bit; --  PAD71
	IO_H17: inout bit; --  PAD75
	IO_H18: inout bit; --  PAD73
	IO_J2: inout bit; --  PAD316
	IO_J3: inout bit; --  PAD314
	IO_J4: inout bit; --  PAD312
	IO_J5: inout bit; --  PAD311
	IO_J14: inout bit; --  PAD80
	IO_J15: inout bit; --  PAD79
	IO_J17: inout bit; --  PAD76
	IO_J18: inout bit; --  PAD78
	IO_K1: inout bit; --  PAD364
	IO_K2: inout bit; --  PAD363
	IO_K4: inout bit; --  PAD362
	IO_K5: inout bit; --  PAD361
	IO_K14: inout bit; --  PAD85
	IO_K15: inout bit; --  PAD81
	IO_K16: inout bit; --  PAD84
	IO_K17: inout bit; --  PAD77
	IO_L1: inout bit; --  PAD368
	IO_L2: inout bit; --  PAD367
	IO_L3: inout bit; --  PAD370
	IO_L4: inout bit; --  PAD369
	IO_L6: inout bit; --  PAD365
	IO_L13: inout bit; --  PAD89
	IO_L14: inout bit; --  PAD86
	IO_L16: inout bit; --  PAD82
	IO_L17: inout bit; --  PAD83
	IO_L18: inout bit; --  PAD88
	IO_M1: inout bit; --  PAD372
	IO_M3: inout bit; --  PAD374
	IO_M4: inout bit; --  PAD373
	IO_M5: inout bit; --  PAD378
	IO_M6: inout bit; --  PAD366
	IO_M10: inout bit; --  PAD214
	IO_M11: inout bit; --  PAD218
	IO_M13: inout bit; --  PAD90
	IO_M14: inout bit; --  PAD93
	IO_M15: inout bit; --  PAD97
	IO_M16: inout bit; --  PAD98
	IO_M18: inout bit; --  PAD87
	IO_N1: inout bit; --  PAD371
	IO_N2: inout bit; --  PAD375
	IO_N3: inout bit; --  PAD376
	IO_N5: inout bit; --  PAD377
	IO_N6: inout bit; --  PAD383
	IO_N7: inout bit; --  PAD387
	IO_N10: inout bit; --  PAD213
	IO_N11: inout bit; --  PAD217
	IO_N15: inout bit; --  PAD94
	IO_N16: inout bit; --  PAD99
	IO_N17: inout bit; --  PAD100
	IO_N18: inout bit; --  PAD92
	IO_P2: inout bit; --  PAD379
	IO_P3: inout bit; --  PAD380
	IO_P4: inout bit; --  PAD391
	IO_P5: inout bit; --  PAD384
	IO_P7: inout bit; --  PAD388
	IO_P9: inout bit; --  PAD210
	IO_P10: inout bit; --  PAD209
	IO_P12: inout bit; --  PAD220
	IO_P13: inout bit; --  PAD219
	IO_P17: inout bit; --  PAD95
	IO_P18: inout bit; --  PAD91
	IO_R1: inout bit; --  PAD382
	IO_R2: inout bit; --  PAD381
	IO_R4: inout bit; --  PAD392
	IO_R5: inout bit; --  PAD395
	IO_R6: inout bit; --  PAD396
	IO_R9: inout bit; --  PAD205
	IO_R10: inout bit; --  PAD240
	IO_R11: inout bit; --  PAD239
	IO_R12: inout bit; --  PAD233
	IO_R15: inout bit; --  PAD203
	IO_R17: inout bit; --  PAD96
	IO_T1: inout bit; --  PAD386
	IO_T2: inout bit; --  PAD385
	IO_T3: inout bit; --  PAD398
	IO_T4: inout bit; --  PAD399
	IO_T7: inout bit; --  PAD202
	IO_T8: inout bit; --  PAD201
	IO_T9: inout bit; --  PAD206
	IO_T11: inout bit; --  PAD238
	IO_T12: inout bit; --  PAD234
	IO_T13: inout bit; --  PAD216
	IO_T14: inout bit; --  PAD215
	IO_T16: inout bit; --  PAD204
	IO_U1: inout bit; --  PAD390
	IO_U3: inout bit; --  PAD397
	IO_U4: inout bit; --  PAD400
	IO_U8: inout bit; --  PAD228
	IO_U9: inout bit; --  PAD232
	IO_U10: inout bit; --  PAD231
	IO_U11: inout bit; --  PAD237
	IO_U13: inout bit; --  PAD230
	IO_U14: inout bit; --  PAD229
	IO_U15: inout bit; --  PAD221
	IO_U16: inout bit; --  PAD211
	IO_V1: inout bit; --  PAD389
	IO_V2: inout bit; --  PAD393
	IO_V3: inout bit; --  PAD394
	IO_V6: inout bit; --  PAD224
	IO_V7: inout bit; --  PAD223
	IO_V8: inout bit; --  PAD227
	IO_V10: inout bit; --  PAD236
	IO_V11: inout bit; --  PAD235
	IO_V12: inout bit; --  PAD226
	IO_V13: inout bit; --  PAD225
	IO_V15: inout bit; --  PAD222
	IO_V16: inout bit; --  PAD212
	IO_V17: inout bit; --  PAD208
	IO_V18: inout bit --  PAD207
); --end port list

-- Use Statements

use STD_1149_1_2001.all;

-- Component Conformance Statement(s)

attribute COMPONENT_CONFORMANCE of XC5VLX30_FF324 : entity is
	"STD_1149_1_2001";

-- Device Package Pin Mappings

attribute PIN_MAP of XC5VLX30_FF324 : entity is PHYSICAL_PIN_MAP;

constant FF324: PIN_MAP_STRING:=
	"AVDD_H10:H10," &
	"AVSS_H9:H9," &
	"CCLK_N8:N8," &
	"CS_B_R16:R16," &
	"DONE_P8:P8," &
	"DOUT_BUSY_T6:T6," &
	"D_IN_R7:R7," &
	"GND:(A5,A15,B2,B12,B17,C9,D1,D6,D16,E3," &
		"E13,E18,F10,G7,G17,H4,H8,H12,H14,J1," &
		"J7,J11,J13,K6,K8,K12,K18,L5,L7,L15," &
		"M2,M12,N9,P1,P6,P16,R3,R13,T10,U2," &
		"U7,U17,V4,V14)," &
	"HSWAP_EN_T17:T17," &
	"INIT_B_M8:M8," &
	"M0_N12:N12," &
	"M1_L11:L11," &
	"M2_N13:N13," &
	"PROG_B:U18," &
	"RDWR_B_P15:P15," &
	"R_FUSE_P14:P14," &
	"TCK:M9," &
	"TDI:U5," &
	"TDN_L9:L9," &
	"TDO:U6," &
	"TDP_L10:L10," &
	"TMS:V5," &
	"VBATT_T18:T18," &
	"VCCAUX:(G12,H7,J6,K13,M7)," &
	"VCCINT:(H11,J8,J12,K7,K11,L8,L12)," &
	"VCCO0:(R18,T15)," &
	"VCCO1:(B7,E8)," &
	"VCCO11:(C14,F15,J16)," &
	"VCCO12:(C4,F5,G2)," &
	"VCCO13:(M17,N14)," &
	"VCCO18:(K3,N4,T5)," &
	"VCCO2:(R8,V9)," &
	"VCCO3:(A10,D11)," &
	"VCCO4:(P11,U12)," &
	"VFS_R14:R14," &
	"VN_K9:K9," &
	"VP_J10:J10," &
	"VREFN_J9:J9," &
	"VREFP_K10:K10," &
	"IO_A1:A1," &
	"IO_A2:A2," &
	"IO_A3:A3," &
	"IO_A4:A4," &
	"IO_A6:A6," &
	"IO_A7:A7," &
	"IO_A8:A8," &
	"IO_A9:A9," &
	"IO_A11:A11," &
	"IO_A12:A12," &
	"IO_A13:A13," &
	"IO_A14:A14," &
	"IO_A16:A16," &
	"IO_A17:A17," &
	"IO_A18:A18," &
	"IO_B1:B1," &
	"IO_B3:B3," &
	"IO_B4:B4," &
	"IO_B5:B5," &
	"IO_B6:B6," &
	"IO_B8:B8," &
	"IO_B9:B9," &
	"IO_B10:B10," &
	"IO_B11:B11," &
	"IO_B13:B13," &
	"IO_B14:B14," &
	"IO_B15:B15," &
	"IO_B16:B16," &
	"IO_B18:B18," &
	"IO_C1:C1," &
	"IO_C2:C2," &
	"IO_C3:C3," &
	"IO_C5:C5," &
	"IO_C6:C6," &
	"IO_C7:C7," &
	"IO_C8:C8," &
	"IO_C10:C10," &
	"IO_C11:C11," &
	"IO_C12:C12," &
	"IO_C13:C13," &
	"IO_C15:C15," &
	"IO_C16:C16," &
	"IO_C17:C17," &
	"IO_C18:C18," &
	"IO_D2:D2," &
	"IO_D3:D3," &
	"IO_D4:D4," &
	"IO_D5:D5," &
	"IO_D7:D7," &
	"IO_D8:D8," &
	"IO_D9:D9," &
	"IO_D10:D10," &
	"IO_D12:D12," &
	"IO_D13:D13," &
	"IO_D14:D14," &
	"IO_D15:D15," &
	"IO_D17:D17," &
	"IO_D18:D18," &
	"IO_E1:E1," &
	"IO_E2:E2," &
	"IO_E4:E4," &
	"IO_E5:E5," &
	"IO_E6:E6," &
	"IO_E7:E7," &
	"IO_E9:E9," &
	"IO_E10:E10," &
	"IO_E11:E11," &
	"IO_E12:E12," &
	"IO_E14:E14," &
	"IO_E15:E15," &
	"IO_E16:E16," &
	"IO_E17:E17," &
	"IO_F1:F1," &
	"IO_F2:F2," &
	"IO_F3:F3," &
	"IO_F4:F4," &
	"IO_F6:F6," &
	"IO_F7:F7," &
	"IO_F8:F8," &
	"IO_F9:F9," &
	"IO_F11:F11," &
	"IO_F12:F12," &
	"IO_F13:F13," &
	"IO_F14:F14," &
	"IO_F16:F16," &
	"IO_F17:F17," &
	"IO_F18:F18," &
	"IO_G1:G1," &
	"IO_G3:G3," &
	"IO_G4:G4," &
	"IO_G5:G5," &
	"IO_G6:G6," &
	"IO_G8:G8," &
	"IO_G9:G9," &
	"IO_G10:G10," &
	"IO_G11:G11," &
	"IO_G13:G13," &
	"IO_G14:G14," &
	"IO_G15:G15," &
	"IO_G16:G16," &
	"IO_G18:G18," &
	"IO_H1:H1," &
	"IO_H2:H2," &
	"IO_H3:H3," &
	"IO_H5:H5," &
	"IO_H6:H6," &
	"IO_H13:H13," &
	"IO_H15:H15," &
	"IO_H16:H16," &
	"IO_H17:H17," &
	"IO_H18:H18," &
	"IO_J2:J2," &
	"IO_J3:J3," &
	"IO_J4:J4," &
	"IO_J5:J5," &
	"IO_J14:J14," &
	"IO_J15:J15," &
	"IO_J17:J17," &
	"IO_J18:J18," &
	"IO_K1:K1," &
	"IO_K2:K2," &
	"IO_K4:K4," &
	"IO_K5:K5," &
	"IO_K14:K14," &
	"IO_K15:K15," &
	"IO_K16:K16," &
	"IO_K17:K17," &
	"IO_L1:L1," &
	"IO_L2:L2," &
	"IO_L3:L3," &
	"IO_L4:L4," &
	"IO_L6:L6," &
	"IO_L13:L13," &
	"IO_L14:L14," &
	"IO_L16:L16," &
	"IO_L17:L17," &
	"IO_L18:L18," &
	"IO_M1:M1," &
	"IO_M3:M3," &
	"IO_M4:M4," &
	"IO_M5:M5," &
	"IO_M6:M6," &
	"IO_M10:M10," &
	"IO_M11:M11," &
	"IO_M13:M13," &
	"IO_M14:M14," &
	"IO_M15:M15," &
	"IO_M16:M16," &
	"IO_M18:M18," &
	"IO_N1:N1," &
	"IO_N2:N2," &
	"IO_N3:N3," &
	"IO_N5:N5," &
	"IO_N6:N6," &
	"IO_N7:N7," &
	"IO_N10:N10," &
	"IO_N11:N11," &
	"IO_N15:N15," &
	"IO_N16:N16," &
	"IO_N17:N17," &
	"IO_N18:N18," &
	"IO_P2:P2," &
	"IO_P3:P3," &
	"IO_P4:P4," &
	"IO_P5:P5," &
	"IO_P7:P7," &
	"IO_P9:P9," &
	"IO_P10:P10," &
	"IO_P12:P12," &
	"IO_P13:P13," &
	"IO_P17:P17," &
	"IO_P18:P18," &
	"IO_R1:R1," &
	"IO_R2:R2," &
	"IO_R4:R4," &
	"IO_R5:R5," &
	"IO_R6:R6," &
	"IO_R9:R9," &
	"IO_R10:R10," &
	"IO_R11:R11," &
	"IO_R12:R12," &
	"IO_R15:R15," &
	"IO_R17:R17," &
	"IO_T1:T1," &
	"IO_T2:T2," &
	"IO_T3:T3," &
	"IO_T4:T4," &
	"IO_T7:T7," &
	"IO_T8:T8," &
	"IO_T9:T9," &
	"IO_T11:T11," &
	"IO_T12:T12," &
	"IO_T13:T13," &
	"IO_T14:T14," &
	"IO_T16:T16," &
	"IO_U1:U1," &
	"IO_U3:U3," &
	"IO_U4:U4," &
	"IO_U8:U8," &
	"IO_U9:U9," &
	"IO_U10:U10," &
	"IO_U11:U11," &
	"IO_U13:U13," &
	"IO_U14:U14," &
	"IO_U15:U15," &
	"IO_U16:U16," &
	"IO_V1:V1," &
	"IO_V2:V2," &
	"IO_V3:V3," &
	"IO_V6:V6," &
	"IO_V7:V7," &
	"IO_V8:V8," &
	"IO_V10:V10," &
	"IO_V11:V11," &
	"IO_V12:V12," &
	"IO_V13:V13," &
	"IO_V15:V15," &
	"IO_V16:V16," &
	"IO_V17:V17," &
	"IO_V18:V18";


-- Scan Port Identification

attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);

-- Compliance-Enable Description

attribute COMPLIANCE_PATTERNS of XC5VLX30_FF324 : entity is
        "(PROG_B) (1)";

-- Instruction Register Description

attribute INSTRUCTION_LENGTH of XC5VLX30_FF324 : entity is 10;

attribute INSTRUCTION_OPCODE of XC5VLX30_FF324 : entity is
        "EXTEST    (1111000000)," &
        "RESERVED1 (1111111100)," &
        "RESERVED2 (1111111101)," &
        "SAMPLE    (1111000001)," &
        "PRELOAD   (1111000001)," & -- Same as SAMPLE
        "USER1     (1111000010)," & -- Not available until after configuration
        "USER2     (1111000011)," & -- Not available until after configuration
        "USER3     (1111100010)," & -- Not available until after configuration
        "USER4     (1111100011)," & -- Not available until after configuration
        "CFG_OUT   (1111000100)," & -- Not available during configuration with another mode.
        "CFG_IN    (1111000101)," & -- Not available during configuration with another mode.
        "JRSVD     (1111000110)," &
        "INTEST_RSVD    (1111000111)," &
        "USERCODE  (1111001000)," &
        "IDCODE    (1111001001)," &
        "HIGHZ     (1111001010)," &
        "JPROGRAM  (1111001011)," & -- Not available during configuration with another mode.
        "JSTART    (1111001100)," & -- Not available during configuration with another mode.
        "JSHUTDOWN (1111001101)," & -- Not available during configuration with another mode.
        "MONITOR1  (1111001110)," &
        "MONITOR2  (1111001111)," &
        "BYPASS    (1111111111)," &
        "FUSE_UPDATE      (1111110000)," &
        "FUSE_KEY         (1111110001)," &
        "FUSE_ID          (1111110010)," &
        "FUSE_USER        (1111110011)," &
        "FUSE_CNTL        (1111110100)," &
        "MONITOR_DRP      (1111110111)," &
        "MISR_EN          (1111111000)," &
	"ISC_ENABLE           (1111010000)," &
	"ISC_PROGRAM          (1111010001)," &
	"ISC_PROGRAM_KEY      (1111010010)," &
	"ISC_ADDRESS_SHIFT    (1111010011)," &
	"ISC_NOOP             (1111010100)," &
	"ISC_READ             (1111010101)," &
	"ISC_DISABLE          (1111010110)";

attribute INSTRUCTION_CAPTURE of XC5VLX30_FF324 : entity is
-- Bit 5 is 1 when DONE is released (part of startup sequence)
-- Bit 4 is 1 if house-cleaning is complete
-- Bit 3 is ISC_Enabled
-- Bit 2 is ISC_Done
        "XXXXXXXX01";

attribute INSTRUCTION_PRIVATE of XC5VLX30_FF324 : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
        "RESERVED1," &
        "RESERVED2," &
        "USER1," &
        "USER2," &
        "USER3," &
        "USER4," &
        "CFG_OUT," &
        "CFG_IN," &
        "JRSVD," &
        "JPROGRAM," &
        "JSTART," &
        "JSHUTDOWN," &
        "INTEST_RSVD," &
        "MONITOR1," &
        "MONITOR2," &
        "FUSE_UPDATE," &
        "FUSE_KEY," &
        "FUSE_ID," &
        "FUSE_USER," &
        "FUSE_CNTL," &
        "MONITOR_DRP," &
        "MISR_EN," &
	"ISC_ENABLE," &
	"ISC_PROGRAM," &
	"ISC_PROGRAM_KEY," &
	"ISC_ADDRESS_SHIFT," &
	"ISC_NOOP," &
	"ISC_READ," &
	"ISC_DISABLE";

-- Optional Register Description

attribute IDCODE_REGISTER of XC5VLX30_FF324 : entity is
	"XXXX" &	-- version
	"0010100" &	-- family
	"001101110" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1


attribute USERCODE_REGISTER of XC5VLX30_FF324 : entity is
        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

-- Register Access Description

attribute REGISTER_ACCESS of XC5VLX30_FF324 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
--	"<reg_name>[<length>] (USER3)," &
--	"<reg_name>[<length>] (USER4)," &
        "BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,PRELOAD,EXTEST)";

-- Boundary-Scan Register Description

attribute BOUNDARY_LENGTH of XC5VLX30_FF324 : entity is 1236;

attribute BOUNDARY_REGISTER of XC5VLX30_FF324 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_1, *, internal, X)," &
	"   1 (BC_1, *, internal, X)," &
	"   2 (BC_1, *, internal, X)," &
	"   3 (BC_1, *, internal, X)," &
	"   4 (BC_1, *, internal, X)," &
	"   5 (BC_1, *, internal, X)," &
	"   6 (BC_1, *, internal, X)," &
	"   7 (BC_2, *, controlr, 1)," &
	"   8 (BC_2, IO_U4, output3, X, 7, 1, PULL0)," & --  PAD400
	"   9 (BC_2, IO_U4, input, X)," & --  PAD400
	"  10 (BC_2, *, controlr, 1)," &
	"  11 (BC_2, IO_T4, output3, X, 10, 1, PULL0)," & --  PAD399
	"  12 (BC_2, IO_T4, input, X)," & --  PAD399
	"  13 (BC_2, *, controlr, 1)," &
	"  14 (BC_2, IO_T3, output3, X, 13, 1, PULL0)," & --  PAD398
	"  15 (BC_2, IO_T3, input, X)," & --  PAD398
	"  16 (BC_2, *, controlr, 1)," &
	"  17 (BC_2, IO_U3, output3, X, 16, 1, PULL0)," & --  PAD397
	"  18 (BC_2, IO_U3, input, X)," & --  PAD397
	"  19 (BC_2, *, controlr, 1)," &
	"  20 (BC_2, IO_R6, output3, X, 19, 1, PULL0)," & --  PAD396
	"  21 (BC_2, IO_R6, input, X)," & --  PAD396
	"  22 (BC_2, *, controlr, 1)," &
	"  23 (BC_2, IO_R5, output3, X, 22, 1, PULL0)," & --  PAD395
	"  24 (BC_2, IO_R5, input, X)," & --  PAD395
	"  25 (BC_2, *, controlr, 1)," &
	"  26 (BC_2, IO_V3, output3, X, 25, 1, PULL0)," & --  PAD394
	"  27 (BC_2, IO_V3, input, X)," & --  PAD394
	"  28 (BC_2, *, controlr, 1)," &
	"  29 (BC_2, IO_V2, output3, X, 28, 1, PULL0)," & --  PAD393
	"  30 (BC_2, IO_V2, input, X)," & --  PAD393
	"  31 (BC_2, *, controlr, 1)," &
	"  32 (BC_2, IO_R4, output3, X, 31, 1, PULL0)," & --  PAD392
	"  33 (BC_2, IO_R4, input, X)," & --  PAD392
	"  34 (BC_2, *, controlr, 1)," &
	"  35 (BC_2, IO_P4, output3, X, 34, 1, PULL0)," & --  PAD391
	"  36 (BC_2, IO_P4, input, X)," & --  PAD391
	"  37 (BC_2, *, controlr, 1)," &
	"  38 (BC_2, IO_U1, output3, X, 37, 1, PULL0)," & --  PAD390
	"  39 (BC_2, IO_U1, input, X)," & --  PAD390
	"  40 (BC_2, *, controlr, 1)," &
	"  41 (BC_2, IO_V1, output3, X, 40, 1, PULL0)," & --  PAD389
	"  42 (BC_2, IO_V1, input, X)," & --  PAD389
	"  43 (BC_2, *, controlr, 1)," &
	"  44 (BC_2, IO_P7, output3, X, 43, 1, PULL0)," & --  PAD388
	"  45 (BC_2, IO_P7, input, X)," & --  PAD388
	"  46 (BC_2, *, controlr, 1)," &
	"  47 (BC_2, IO_N7, output3, X, 46, 1, PULL0)," & --  PAD387
	"  48 (BC_2, IO_N7, input, X)," & --  PAD387
	"  49 (BC_2, *, controlr, 1)," &
	"  50 (BC_2, IO_T1, output3, X, 49, 1, PULL0)," & --  PAD386
	"  51 (BC_2, IO_T1, input, X)," & --  PAD386
	"  52 (BC_2, *, controlr, 1)," &
	"  53 (BC_2, IO_T2, output3, X, 52, 1, PULL0)," & --  PAD385
	"  54 (BC_2, IO_T2, input, X)," & --  PAD385
	"  55 (BC_2, *, controlr, 1)," &
	"  56 (BC_2, IO_P5, output3, X, 55, 1, PULL0)," & --  PAD384
	"  57 (BC_2, IO_P5, input, X)," & --  PAD384
	"  58 (BC_2, *, controlr, 1)," &
	"  59 (BC_2, IO_N6, output3, X, 58, 1, PULL0)," & --  PAD383
	"  60 (BC_2, IO_N6, input, X)," & --  PAD383
	"  61 (BC_2, *, controlr, 1)," &
	"  62 (BC_2, IO_R1, output3, X, 61, 1, PULL0)," & --  PAD382
	"  63 (BC_2, IO_R1, input, X)," & --  PAD382
	"  64 (BC_2, *, controlr, 1)," &
	"  65 (BC_2, IO_R2, output3, X, 64, 1, PULL0)," & --  PAD381
	"  66 (BC_2, IO_R2, input, X)," & --  PAD381
	"  67 (BC_2, *, controlr, 1)," &
	"  68 (BC_2, IO_P3, output3, X, 67, 1, PULL0)," & --  PAD380
	"  69 (BC_2, IO_P3, input, X)," & --  PAD380
	"  70 (BC_2, *, controlr, 1)," &
	"  71 (BC_2, IO_P2, output3, X, 70, 1, PULL0)," & --  PAD379
	"  72 (BC_2, IO_P2, input, X)," & --  PAD379
	"  73 (BC_2, *, controlr, 1)," &
	"  74 (BC_2, IO_M5, output3, X, 73, 1, PULL0)," & --  PAD378
	"  75 (BC_2, IO_M5, input, X)," & --  PAD378
	"  76 (BC_2, *, controlr, 1)," &
	"  77 (BC_2, IO_N5, output3, X, 76, 1, PULL0)," & --  PAD377
	"  78 (BC_2, IO_N5, input, X)," & --  PAD377
	"  79 (BC_2, *, controlr, 1)," &
	"  80 (BC_2, IO_N3, output3, X, 79, 1, PULL0)," & --  PAD376
	"  81 (BC_2, IO_N3, input, X)," & --  PAD376
	"  82 (BC_2, *, controlr, 1)," &
	"  83 (BC_2, IO_N2, output3, X, 82, 1, PULL0)," & --  PAD375
	"  84 (BC_2, IO_N2, input, X)," & --  PAD375
	"  85 (BC_2, *, controlr, 1)," &
	"  86 (BC_2, IO_M3, output3, X, 85, 1, PULL0)," & --  PAD374
	"  87 (BC_2, IO_M3, input, X)," & --  PAD374
	"  88 (BC_2, *, controlr, 1)," &
	"  89 (BC_2, IO_M4, output3, X, 88, 1, PULL0)," & --  PAD373
	"  90 (BC_2, IO_M4, input, X)," & --  PAD373
	"  91 (BC_2, *, controlr, 1)," &
	"  92 (BC_2, IO_M1, output3, X, 91, 1, PULL0)," & --  PAD372
	"  93 (BC_2, IO_M1, input, X)," & --  PAD372
	"  94 (BC_2, *, controlr, 1)," &
	"  95 (BC_2, IO_N1, output3, X, 94, 1, PULL0)," & --  PAD371
	"  96 (BC_2, IO_N1, input, X)," & --  PAD371
	"  97 (BC_2, *, controlr, 1)," &
	"  98 (BC_2, IO_L3, output3, X, 97, 1, PULL0)," & --  PAD370
	"  99 (BC_2, IO_L3, input, X)," & --  PAD370
	" 100 (BC_2, *, controlr, 1)," &
	" 101 (BC_2, IO_L4, output3, X, 100, 1, PULL0)," & --  PAD369
	" 102 (BC_2, IO_L4, input, X)," & --  PAD369
	" 103 (BC_2, *, controlr, 1)," &
	" 104 (BC_2, IO_L1, output3, X, 103, 1, PULL0)," & --  PAD368
	" 105 (BC_2, IO_L1, input, X)," & --  PAD368
	" 106 (BC_2, *, controlr, 1)," &
	" 107 (BC_2, IO_L2, output3, X, 106, 1, PULL0)," & --  PAD367
	" 108 (BC_2, IO_L2, input, X)," & --  PAD367
	" 109 (BC_2, *, controlr, 1)," &
	" 110 (BC_2, IO_M6, output3, X, 109, 1, PULL0)," & --  PAD366
	" 111 (BC_2, IO_M6, input, X)," & --  PAD366
	" 112 (BC_2, *, controlr, 1)," &
	" 113 (BC_2, IO_L6, output3, X, 112, 1, PULL0)," & --  PAD365
	" 114 (BC_2, IO_L6, input, X)," & --  PAD365
	" 115 (BC_2, *, controlr, 1)," &
	" 116 (BC_2, IO_K1, output3, X, 115, 1, PULL0)," & --  PAD364
	" 117 (BC_2, IO_K1, input, X)," & --  PAD364
	" 118 (BC_2, *, controlr, 1)," &
	" 119 (BC_2, IO_K2, output3, X, 118, 1, PULL0)," & --  PAD363
	" 120 (BC_2, IO_K2, input, X)," & --  PAD363
	" 121 (BC_2, *, controlr, 1)," &
	" 122 (BC_2, IO_K4, output3, X, 121, 1, PULL0)," & --  PAD362
	" 123 (BC_2, IO_K4, input, X)," & --  PAD362
	" 124 (BC_2, *, controlr, 1)," &
	" 125 (BC_2, IO_K5, output3, X, 124, 1, PULL0)," & --  PAD361
	" 126 (BC_2, IO_K5, input, X)," & --  PAD361
	" 127 (BC_2, *, internal, 1)," & --  PAD360.T
	" 128 (BC_2, *, internal, X)," & --  PAD360.O
	" 129 (BC_2, *, internal, X)," & --  PAD360.I
	" 130 (BC_2, *, internal, 1)," & --  PAD359.T
	" 131 (BC_2, *, internal, X)," & --  PAD359.O
	" 132 (BC_2, *, internal, X)," & --  PAD359.I
	" 133 (BC_2, *, internal, 1)," & --  PAD358.T
	" 134 (BC_2, *, internal, X)," & --  PAD358.O
	" 135 (BC_2, *, internal, X)," & --  PAD358.I
	" 136 (BC_2, *, internal, 1)," & --  PAD357.T
	" 137 (BC_2, *, internal, X)," & --  PAD357.O
	" 138 (BC_2, *, internal, X)," & --  PAD357.I
	" 139 (BC_2, *, internal, 1)," & --  PAD356.T
	" 140 (BC_2, *, internal, X)," & --  PAD356.O
	" 141 (BC_2, *, internal, X)," & --  PAD356.I
	" 142 (BC_2, *, internal, 1)," & --  PAD355.T
	" 143 (BC_2, *, internal, X)," & --  PAD355.O
	" 144 (BC_2, *, internal, X)," & --  PAD355.I
	" 145 (BC_2, *, internal, 1)," & --  PAD354.T
	" 146 (BC_2, *, internal, X)," & --  PAD354.O
	" 147 (BC_2, *, internal, X)," & --  PAD354.I
	" 148 (BC_2, *, internal, 1)," & --  PAD353.T
	" 149 (BC_2, *, internal, X)," & --  PAD353.O
	" 150 (BC_2, *, internal, X)," & --  PAD353.I
	" 151 (BC_2, *, internal, 1)," & --  PAD352.T
	" 152 (BC_2, *, internal, X)," & --  PAD352.O
	" 153 (BC_2, *, internal, X)," & --  PAD352.I
	" 154 (BC_2, *, internal, 1)," & --  PAD351.T
	" 155 (BC_2, *, internal, X)," & --  PAD351.O
	" 156 (BC_2, *, internal, X)," & --  PAD351.I
	" 157 (BC_2, *, internal, 1)," & --  PAD350.T
	" 158 (BC_2, *, internal, X)," & --  PAD350.O
	" 159 (BC_2, *, internal, X)," & --  PAD350.I
	" 160 (BC_2, *, internal, 1)," & --  PAD349.T
	" 161 (BC_2, *, internal, X)," & --  PAD349.O
	" 162 (BC_2, *, internal, X)," & --  PAD349.I
	" 163 (BC_2, *, internal, 1)," & --  PAD348.T
	" 164 (BC_2, *, internal, X)," & --  PAD348.O
	" 165 (BC_2, *, internal, X)," & --  PAD348.I
	" 166 (BC_2, *, internal, 1)," & --  PAD347.T
	" 167 (BC_2, *, internal, X)," & --  PAD347.O
	" 168 (BC_2, *, internal, X)," & --  PAD347.I
	" 169 (BC_2, *, internal, 1)," & --  PAD346.T
	" 170 (BC_2, *, internal, X)," & --  PAD346.O
	" 171 (BC_2, *, internal, X)," & --  PAD346.I
	" 172 (BC_2, *, internal, 1)," & --  PAD345.T
	" 173 (BC_2, *, internal, X)," & --  PAD345.O
	" 174 (BC_2, *, internal, X)," & --  PAD345.I
	" 175 (BC_2, *, internal, 1)," & --  PAD344.T
	" 176 (BC_2, *, internal, X)," & --  PAD344.O
	" 177 (BC_2, *, internal, X)," & --  PAD344.I
	" 178 (BC_2, *, internal, 1)," & --  PAD343.T
	" 179 (BC_2, *, internal, X)," & --  PAD343.O
	" 180 (BC_2, *, internal, X)," & --  PAD343.I
	" 181 (BC_2, *, internal, 1)," & --  PAD342.T
	" 182 (BC_2, *, internal, X)," & --  PAD342.O
	" 183 (BC_2, *, internal, X)," & --  PAD342.I
	" 184 (BC_2, *, internal, 1)," & --  PAD341.T
	" 185 (BC_2, *, internal, X)," & --  PAD341.O
	" 186 (BC_2, *, internal, X)," & --  PAD341.I
	" 187 (BC_2, *, internal, 1)," & --  PAD340.T
	" 188 (BC_2, *, internal, X)," & --  PAD340.O
	" 189 (BC_2, *, internal, X)," & --  PAD340.I
	" 190 (BC_2, *, internal, 1)," & --  PAD339.T
	" 191 (BC_2, *, internal, X)," & --  PAD339.O
	" 192 (BC_2, *, internal, X)," & --  PAD339.I
	" 193 (BC_2, *, internal, 1)," & --  PAD338.T
	" 194 (BC_2, *, internal, X)," & --  PAD338.O
	" 195 (BC_2, *, internal, X)," & --  PAD338.I
	" 196 (BC_2, *, internal, 1)," & --  PAD337.T
	" 197 (BC_2, *, internal, X)," & --  PAD337.O
	" 198 (BC_2, *, internal, X)," & --  PAD337.I
	" 199 (BC_2, *, internal, 1)," & --  PAD336.T
	" 200 (BC_2, *, internal, X)," & --  PAD336.O
	" 201 (BC_2, *, internal, X)," & --  PAD336.I
	" 202 (BC_2, *, internal, 1)," & --  PAD335.T
	" 203 (BC_2, *, internal, X)," & --  PAD335.O
	" 204 (BC_2, *, internal, X)," & --  PAD335.I
	" 205 (BC_2, *, internal, 1)," & --  PAD334.T
	" 206 (BC_2, *, internal, X)," & --  PAD334.O
	" 207 (BC_2, *, internal, X)," & --  PAD334.I
	" 208 (BC_2, *, internal, 1)," & --  PAD333.T
	" 209 (BC_2, *, internal, X)," & --  PAD333.O
	" 210 (BC_2, *, internal, X)," & --  PAD333.I
	" 211 (BC_2, *, internal, 1)," & --  PAD332.T
	" 212 (BC_2, *, internal, X)," & --  PAD332.O
	" 213 (BC_2, *, internal, X)," & --  PAD332.I
	" 214 (BC_2, *, internal, 1)," & --  PAD331.T
	" 215 (BC_2, *, internal, X)," & --  PAD331.O
	" 216 (BC_2, *, internal, X)," & --  PAD331.I
	" 217 (BC_2, *, internal, 1)," & --  PAD330.T
	" 218 (BC_2, *, internal, X)," & --  PAD330.O
	" 219 (BC_2, *, internal, X)," & --  PAD330.I
	" 220 (BC_2, *, internal, 1)," & --  PAD329.T
	" 221 (BC_2, *, internal, X)," & --  PAD329.O
	" 222 (BC_2, *, internal, X)," & --  PAD329.I
	" 223 (BC_2, *, internal, 1)," & --  PAD328.T
	" 224 (BC_2, *, internal, X)," & --  PAD328.O
	" 225 (BC_2, *, internal, X)," & --  PAD328.I
	" 226 (BC_2, *, internal, 1)," & --  PAD327.T
	" 227 (BC_2, *, internal, X)," & --  PAD327.O
	" 228 (BC_2, *, internal, X)," & --  PAD327.I
	" 229 (BC_2, *, internal, 1)," & --  PAD326.T
	" 230 (BC_2, *, internal, X)," & --  PAD326.O
	" 231 (BC_2, *, internal, X)," & --  PAD326.I
	" 232 (BC_2, *, internal, 1)," & --  PAD325.T
	" 233 (BC_2, *, internal, X)," & --  PAD325.O
	" 234 (BC_2, *, internal, X)," & --  PAD325.I
	" 235 (BC_2, *, internal, 1)," & --  PAD324.T
	" 236 (BC_2, *, internal, X)," & --  PAD324.O
	" 237 (BC_2, *, internal, X)," & --  PAD324.I
	" 238 (BC_2, *, internal, 1)," & --  PAD323.T
	" 239 (BC_2, *, internal, X)," & --  PAD323.O
	" 240 (BC_2, *, internal, X)," & --  PAD323.I
	" 241 (BC_2, *, internal, 1)," & --  PAD322.T
	" 242 (BC_2, *, internal, X)," & --  PAD322.O
	" 243 (BC_2, *, internal, X)," & --  PAD322.I
	" 244 (BC_2, *, internal, 1)," & --  PAD321.T
	" 245 (BC_2, *, internal, X)," & --  PAD321.O
	" 246 (BC_2, *, internal, X)," & --  PAD321.I
	" 247 (BC_2, *, controlr, 1)," &
	" 248 (BC_2, IO_H1, output3, X, 247, 1, PULL0)," & --  PAD320
	" 249 (BC_2, IO_H1, input, X)," & --  PAD320
	" 250 (BC_2, *, controlr, 1)," &
	" 251 (BC_2, IO_G1, output3, X, 250, 1, PULL0)," & --  PAD319
	" 252 (BC_2, IO_G1, input, X)," & --  PAD319
	" 253 (BC_2, *, controlr, 1)," &
	" 254 (BC_2, IO_F2, output3, X, 253, 1, PULL0)," & --  PAD318
	" 255 (BC_2, IO_F2, input, X)," & --  PAD318
	" 256 (BC_2, *, controlr, 1)," &
	" 257 (BC_2, IO_E2, output3, X, 256, 1, PULL0)," & --  PAD317
	" 258 (BC_2, IO_E2, input, X)," & --  PAD317
	" 259 (BC_2, *, controlr, 1)," &
	" 260 (BC_2, IO_J2, output3, X, 259, 1, PULL0)," & --  PAD316
	" 261 (BC_2, IO_J2, input, X)," & --  PAD316
	" 262 (BC_2, *, controlr, 1)," &
	" 263 (BC_2, IO_H2, output3, X, 262, 1, PULL0)," & --  PAD315
	" 264 (BC_2, IO_H2, input, X)," & --  PAD315
	" 265 (BC_2, *, controlr, 1)," &
	" 266 (BC_2, IO_J3, output3, X, 265, 1, PULL0)," & --  PAD314
	" 267 (BC_2, IO_J3, input, X)," & --  PAD314
	" 268 (BC_2, *, controlr, 1)," &
	" 269 (BC_2, IO_H3, output3, X, 268, 1, PULL0)," & --  PAD313
	" 270 (BC_2, IO_H3, input, X)," & --  PAD313
	" 271 (BC_2, *, controlr, 1)," &
	" 272 (BC_2, IO_J4, output3, X, 271, 1, PULL0)," & --  PAD312
	" 273 (BC_2, IO_J4, input, X)," & --  PAD312
	" 274 (BC_2, *, controlr, 1)," &
	" 275 (BC_2, IO_J5, output3, X, 274, 1, PULL0)," & --  PAD311
	" 276 (BC_2, IO_J5, input, X)," & --  PAD311
	" 277 (BC_2, *, controlr, 1)," &
	" 278 (BC_2, IO_E1, output3, X, 277, 1, PULL0)," & --  PAD310
	" 279 (BC_2, IO_E1, input, X)," & --  PAD310
	" 280 (BC_2, *, controlr, 1)," &
	" 281 (BC_2, IO_F1, output3, X, 280, 1, PULL0)," & --  PAD309
	" 282 (BC_2, IO_F1, input, X)," & --  PAD309
	" 283 (BC_2, *, controlr, 1)," &
	" 284 (BC_2, IO_F3, output3, X, 283, 1, PULL0)," & --  PAD308
	" 285 (BC_2, IO_F3, input, X)," & --  PAD308
	" 286 (BC_2, *, controlr, 1)," &
	" 287 (BC_2, IO_G3, output3, X, 286, 1, PULL0)," & --  PAD307
	" 288 (BC_2, IO_G3, input, X)," & --  PAD307
	" 289 (BC_2, *, controlr, 1)," &
	" 290 (BC_2, IO_B1, output3, X, 289, 1, PULL0)," & --  PAD306
	" 291 (BC_2, IO_B1, input, X)," & --  PAD306
	" 292 (BC_2, *, controlr, 1)," &
	" 293 (BC_2, IO_C1, output3, X, 292, 1, PULL0)," & --  PAD305
	" 294 (BC_2, IO_C1, input, X)," & --  PAD305
	" 295 (BC_2, *, controlr, 1)," &
	" 296 (BC_2, IO_F4, output3, X, 295, 1, PULL0)," & --  PAD304
	" 297 (BC_2, IO_F4, input, X)," & --  PAD304
	" 298 (BC_2, *, controlr, 1)," &
	" 299 (BC_2, IO_G4, output3, X, 298, 1, PULL0)," & --  PAD303
	" 300 (BC_2, IO_G4, input, X)," & --  PAD303
	" 301 (BC_2, *, controlr, 1)," &
	" 302 (BC_2, IO_A2, output3, X, 301, 1, PULL0)," & --  PAD302
	" 303 (BC_2, IO_A2, input, X)," & --  PAD302
	" 304 (BC_2, *, controlr, 1)," &
	" 305 (BC_2, IO_A1, output3, X, 304, 1, PULL0)," & --  PAD301
	" 306 (BC_2, IO_A1, input, X)," & --  PAD301
	" 307 (BC_2, *, controlr, 1)," &
	" 308 (BC_2, IO_C2, output3, X, 307, 1, PULL0)," & --  PAD300
	" 309 (BC_2, IO_C2, input, X)," & --  PAD300
	" 310 (BC_2, *, controlr, 1)," &
	" 311 (BC_2, IO_D2, output3, X, 310, 1, PULL0)," & --  PAD299
	" 312 (BC_2, IO_D2, input, X)," & --  PAD299
	" 313 (BC_2, *, controlr, 1)," &
	" 314 (BC_2, IO_H5, output3, X, 313, 1, PULL0)," & --  PAD298
	" 315 (BC_2, IO_H5, input, X)," & --  PAD298
	" 316 (BC_2, *, controlr, 1)," &
	" 317 (BC_2, IO_H6, output3, X, 316, 1, PULL0)," & --  PAD297
	" 318 (BC_2, IO_H6, input, X)," & --  PAD297
	" 319 (BC_2, *, controlr, 1)," &
	" 320 (BC_2, IO_D3, output3, X, 319, 1, PULL0)," & --  PAD296
	" 321 (BC_2, IO_D3, input, X)," & --  PAD296
	" 322 (BC_2, *, controlr, 1)," &
	" 323 (BC_2, IO_C3, output3, X, 322, 1, PULL0)," & --  PAD295
	" 324 (BC_2, IO_C3, input, X)," & --  PAD295
	" 325 (BC_2, *, controlr, 1)," &
	" 326 (BC_2, IO_G6, output3, X, 325, 1, PULL0)," & --  PAD294
	" 327 (BC_2, IO_G6, input, X)," & --  PAD294
	" 328 (BC_2, *, controlr, 1)," &
	" 329 (BC_2, IO_G5, output3, X, 328, 1, PULL0)," & --  PAD293
	" 330 (BC_2, IO_G5, input, X)," & --  PAD293
	" 331 (BC_2, *, controlr, 1)," &
	" 332 (BC_2, IO_B3, output3, X, 331, 1, PULL0)," & --  PAD292
	" 333 (BC_2, IO_B3, input, X)," & --  PAD292
	" 334 (BC_2, *, controlr, 1)," &
	" 335 (BC_2, IO_A3, output3, X, 334, 1, PULL0)," & --  PAD291
	" 336 (BC_2, IO_A3, input, X)," & --  PAD291
	" 337 (BC_2, *, controlr, 1)," &
	" 338 (BC_2, IO_E4, output3, X, 337, 1, PULL0)," & --  PAD290
	" 339 (BC_2, IO_E4, input, X)," & --  PAD290
	" 340 (BC_2, *, controlr, 1)," &
	" 341 (BC_2, IO_E5, output3, X, 340, 1, PULL0)," & --  PAD289
	" 342 (BC_2, IO_E5, input, X)," & --  PAD289
	" 343 (BC_2, *, controlr, 1)," &
	" 344 (BC_2, IO_A4, output3, X, 343, 1, PULL0)," & --  PAD288
	" 345 (BC_2, IO_A4, input, X)," & --  PAD288
	" 346 (BC_2, *, controlr, 1)," &
	" 347 (BC_2, IO_B4, output3, X, 346, 1, PULL0)," & --  PAD287
	" 348 (BC_2, IO_B4, input, X)," & --  PAD287
	" 349 (BC_2, *, controlr, 1)," &
	" 350 (BC_2, IO_D4, output3, X, 349, 1, PULL0)," & --  PAD286
	" 351 (BC_2, IO_D4, input, X)," & --  PAD286
	" 352 (BC_2, *, controlr, 1)," &
	" 353 (BC_2, IO_D5, output3, X, 352, 1, PULL0)," & --  PAD285
	" 354 (BC_2, IO_D5, input, X)," & --  PAD285
	" 355 (BC_2, *, controlr, 1)," &
	" 356 (BC_2, IO_C5, output3, X, 355, 1, PULL0)," & --  PAD284
	" 357 (BC_2, IO_C5, input, X)," & --  PAD284
	" 358 (BC_2, *, controlr, 1)," &
	" 359 (BC_2, IO_B5, output3, X, 358, 1, PULL0)," & --  PAD283
	" 360 (BC_2, IO_B5, input, X)," & --  PAD283
	" 361 (BC_2, *, controlr, 1)," &
	" 362 (BC_2, IO_F6, output3, X, 361, 1, PULL0)," & --  PAD282
	" 363 (BC_2, IO_F6, input, X)," & --  PAD282
	" 364 (BC_2, *, controlr, 1)," &
	" 365 (BC_2, IO_E6, output3, X, 364, 1, PULL0)," & --  PAD281
	" 366 (BC_2, IO_E6, input, X)," & --  PAD281
	" 367 (BC_2, *, internal, 1)," & --  PAD280.T
	" 368 (BC_2, *, internal, X)," & --  PAD280.O
	" 369 (BC_2, *, internal, X)," & --  PAD280.I
	" 370 (BC_2, *, internal, 1)," & --  PAD279.T
	" 371 (BC_2, *, internal, X)," & --  PAD279.O
	" 372 (BC_2, *, internal, X)," & --  PAD279.I
	" 373 (BC_2, *, internal, 1)," & --  PAD278.T
	" 374 (BC_2, *, internal, X)," & --  PAD278.O
	" 375 (BC_2, *, internal, X)," & --  PAD278.I
	" 376 (BC_2, *, internal, 1)," & --  PAD277.T
	" 377 (BC_2, *, internal, X)," & --  PAD277.O
	" 378 (BC_2, *, internal, X)," & --  PAD277.I
	" 379 (BC_2, *, internal, 1)," & --  PAD276.T
	" 380 (BC_2, *, internal, X)," & --  PAD276.O
	" 381 (BC_2, *, internal, X)," & --  PAD276.I
	" 382 (BC_2, *, internal, 1)," & --  PAD275.T
	" 383 (BC_2, *, internal, X)," & --  PAD275.O
	" 384 (BC_2, *, internal, X)," & --  PAD275.I
	" 385 (BC_2, *, internal, 1)," & --  PAD274.T
	" 386 (BC_2, *, internal, X)," & --  PAD274.O
	" 387 (BC_2, *, internal, X)," & --  PAD274.I
	" 388 (BC_2, *, internal, 1)," & --  PAD273.T
	" 389 (BC_2, *, internal, X)," & --  PAD273.O
	" 390 (BC_2, *, internal, X)," & --  PAD273.I
	" 391 (BC_2, *, internal, 1)," & --  PAD272.T
	" 392 (BC_2, *, internal, X)," & --  PAD272.O
	" 393 (BC_2, *, internal, X)," & --  PAD272.I
	" 394 (BC_2, *, internal, 1)," & --  PAD271.T
	" 395 (BC_2, *, internal, X)," & --  PAD271.O
	" 396 (BC_2, *, internal, X)," & --  PAD271.I
	" 397 (BC_2, *, internal, 1)," & --  PAD270.T
	" 398 (BC_2, *, internal, X)," & --  PAD270.O
	" 399 (BC_2, *, internal, X)," & --  PAD270.I
	" 400 (BC_2, *, internal, 1)," & --  PAD269.T
	" 401 (BC_2, *, internal, X)," & --  PAD269.O
	" 402 (BC_2, *, internal, X)," & --  PAD269.I
	" 403 (BC_2, *, internal, 1)," & --  PAD268.T
	" 404 (BC_2, *, internal, X)," & --  PAD268.O
	" 405 (BC_2, *, internal, X)," & --  PAD268.I
	" 406 (BC_2, *, internal, 1)," & --  PAD267.T
	" 407 (BC_2, *, internal, X)," & --  PAD267.O
	" 408 (BC_2, *, internal, X)," & --  PAD267.I
	" 409 (BC_2, *, internal, 1)," & --  PAD266.T
	" 410 (BC_2, *, internal, X)," & --  PAD266.O
	" 411 (BC_2, *, internal, X)," & --  PAD266.I
	" 412 (BC_2, *, internal, 1)," & --  PAD265.T
	" 413 (BC_2, *, internal, X)," & --  PAD265.O
	" 414 (BC_2, *, internal, X)," & --  PAD265.I
	" 415 (BC_2, *, internal, 1)," & --  PAD264.T
	" 416 (BC_2, *, internal, X)," & --  PAD264.O
	" 417 (BC_2, *, internal, X)," & --  PAD264.I
	" 418 (BC_2, *, internal, 1)," & --  PAD263.T
	" 419 (BC_2, *, internal, X)," & --  PAD263.O
	" 420 (BC_2, *, internal, X)," & --  PAD263.I
	" 421 (BC_2, *, internal, 1)," & --  PAD262.T
	" 422 (BC_2, *, internal, X)," & --  PAD262.O
	" 423 (BC_2, *, internal, X)," & --  PAD262.I
	" 424 (BC_2, *, internal, 1)," & --  PAD261.T
	" 425 (BC_2, *, internal, X)," & --  PAD261.O
	" 426 (BC_2, *, internal, X)," & --  PAD261.I
	" 427 (BC_2, *, internal, 1)," & --  PAD260.T
	" 428 (BC_2, *, internal, X)," & --  PAD260.O
	" 429 (BC_2, *, internal, X)," & --  PAD260.I
	" 430 (BC_2, *, internal, 1)," & --  PAD259.T
	" 431 (BC_2, *, internal, X)," & --  PAD259.O
	" 432 (BC_2, *, internal, X)," & --  PAD259.I
	" 433 (BC_2, *, internal, 1)," & --  PAD258.T
	" 434 (BC_2, *, internal, X)," & --  PAD258.O
	" 435 (BC_2, *, internal, X)," & --  PAD258.I
	" 436 (BC_2, *, internal, 1)," & --  PAD257.T
	" 437 (BC_2, *, internal, X)," & --  PAD257.O
	" 438 (BC_2, *, internal, X)," & --  PAD257.I
	" 439 (BC_2, *, internal, 1)," & --  PAD256.T
	" 440 (BC_2, *, internal, X)," & --  PAD256.O
	" 441 (BC_2, *, internal, X)," & --  PAD256.I
	" 442 (BC_2, *, internal, 1)," & --  PAD255.T
	" 443 (BC_2, *, internal, X)," & --  PAD255.O
	" 444 (BC_2, *, internal, X)," & --  PAD255.I
	" 445 (BC_2, *, internal, 1)," & --  PAD254.T
	" 446 (BC_2, *, internal, X)," & --  PAD254.O
	" 447 (BC_2, *, internal, X)," & --  PAD254.I
	" 448 (BC_2, *, internal, 1)," & --  PAD253.T
	" 449 (BC_2, *, internal, X)," & --  PAD253.O
	" 450 (BC_2, *, internal, X)," & --  PAD253.I
	" 451 (BC_2, *, internal, 1)," & --  PAD252.T
	" 452 (BC_2, *, internal, X)," & --  PAD252.O
	" 453 (BC_2, *, internal, X)," & --  PAD252.I
	" 454 (BC_2, *, internal, 1)," & --  PAD251.T
	" 455 (BC_2, *, internal, X)," & --  PAD251.O
	" 456 (BC_2, *, internal, X)," & --  PAD251.I
	" 457 (BC_2, *, internal, 1)," & --  PAD250.T
	" 458 (BC_2, *, internal, X)," & --  PAD250.O
	" 459 (BC_2, *, internal, X)," & --  PAD250.I
	" 460 (BC_2, *, internal, 1)," & --  PAD249.T
	" 461 (BC_2, *, internal, X)," & --  PAD249.O
	" 462 (BC_2, *, internal, X)," & --  PAD249.I
	" 463 (BC_2, *, internal, 1)," & --  PAD248.T
	" 464 (BC_2, *, internal, X)," & --  PAD248.O
	" 465 (BC_2, *, internal, X)," & --  PAD248.I
	" 466 (BC_2, *, internal, 1)," & --  PAD247.T
	" 467 (BC_2, *, internal, X)," & --  PAD247.O
	" 468 (BC_2, *, internal, X)," & --  PAD247.I
	" 469 (BC_2, *, internal, 1)," & --  PAD246.T
	" 470 (BC_2, *, internal, X)," & --  PAD246.O
	" 471 (BC_2, *, internal, X)," & --  PAD246.I
	" 472 (BC_2, *, internal, 1)," & --  PAD245.T
	" 473 (BC_2, *, internal, X)," & --  PAD245.O
	" 474 (BC_2, *, internal, X)," & --  PAD245.I
	" 475 (BC_2, *, internal, 1)," & --  PAD244.T
	" 476 (BC_2, *, internal, X)," & --  PAD244.O
	" 477 (BC_2, *, internal, X)," & --  PAD244.I
	" 478 (BC_2, *, internal, 1)," & --  PAD243.T
	" 479 (BC_2, *, internal, X)," & --  PAD243.O
	" 480 (BC_2, *, internal, X)," & --  PAD243.I
	" 481 (BC_2, *, internal, 1)," & --  PAD242.T
	" 482 (BC_2, *, internal, X)," & --  PAD242.O
	" 483 (BC_2, *, internal, X)," & --  PAD242.I
	" 484 (BC_2, *, internal, 1)," & --  PAD241.T
	" 485 (BC_2, *, internal, X)," & --  PAD241.O
	" 486 (BC_2, *, internal, X)," & --  PAD241.I
	" 487 (BC_1, *, internal, X)," &
	" 488 (BC_1, *, internal, X)," &
	" 489 (BC_1, *, internal, X)," &
	" 490 (BC_1, *, internal, X)," &
	" 491 (BC_1, *, internal, X)," &
	" 492 (BC_2, *, controlr, 1)," &
	" 493 (BC_2, IO_R10, output3, X, 492, 1, PULL0)," & --  PAD240
	" 494 (BC_2, IO_R10, input, X)," & --  PAD240
	" 495 (BC_2, *, controlr, 1)," &
	" 496 (BC_2, IO_R11, output3, X, 495, 1, PULL0)," & --  PAD239
	" 497 (BC_2, IO_R11, input, X)," & --  PAD239
	" 498 (BC_2, *, controlr, 1)," &
	" 499 (BC_2, IO_T11, output3, X, 498, 1, PULL0)," & --  PAD238
	" 500 (BC_2, IO_T11, input, X)," & --  PAD238
	" 501 (BC_2, *, controlr, 1)," &
	" 502 (BC_2, IO_U11, output3, X, 501, 1, PULL0)," & --  PAD237
	" 503 (BC_2, IO_U11, input, X)," & --  PAD237
	" 504 (BC_2, *, controlr, 1)," &
	" 505 (BC_2, IO_V10, output3, X, 504, 1, PULL0)," & --  PAD236
	" 506 (BC_2, IO_V10, input, X)," & --  PAD236
	" 507 (BC_2, *, controlr, 1)," &
	" 508 (BC_2, IO_V11, output3, X, 507, 1, PULL0)," & --  PAD235
	" 509 (BC_2, IO_V11, input, X)," & --  PAD235
	" 510 (BC_2, *, controlr, 1)," &
	" 511 (BC_2, IO_T12, output3, X, 510, 1, PULL0)," & --  PAD234
	" 512 (BC_2, IO_T12, input, X)," & --  PAD234
	" 513 (BC_2, *, controlr, 1)," &
	" 514 (BC_2, IO_R12, output3, X, 513, 1, PULL0)," & --  PAD233
	" 515 (BC_2, IO_R12, input, X)," & --  PAD233
	" 516 (BC_2, *, controlr, 1)," &
	" 517 (BC_2, IO_U9, output3, X, 516, 1, PULL0)," & --  PAD232
	" 518 (BC_2, IO_U9, input, X)," & --  PAD232
	" 519 (BC_2, *, controlr, 1)," &
	" 520 (BC_2, IO_U10, output3, X, 519, 1, PULL0)," & --  PAD231
	" 521 (BC_2, IO_U10, input, X)," & --  PAD231
	" 522 (BC_2, *, controlr, 1)," &
	" 523 (BC_2, IO_U13, output3, X, 522, 1, PULL0)," & --  PAD230
	" 524 (BC_2, IO_U13, input, X)," & --  PAD230
	" 525 (BC_2, *, controlr, 1)," &
	" 526 (BC_2, IO_U14, output3, X, 525, 1, PULL0)," & --  PAD229
	" 527 (BC_2, IO_U14, input, X)," & --  PAD229
	" 528 (BC_2, *, controlr, 1)," &
	" 529 (BC_2, IO_U8, output3, X, 528, 1, PULL0)," & --  PAD228
	" 530 (BC_2, IO_U8, input, X)," & --  PAD228
	" 531 (BC_2, *, controlr, 1)," &
	" 532 (BC_2, IO_V8, output3, X, 531, 1, PULL0)," & --  PAD227
	" 533 (BC_2, IO_V8, input, X)," & --  PAD227
	" 534 (BC_2, *, controlr, 1)," &
	" 535 (BC_2, IO_V12, output3, X, 534, 1, PULL0)," & --  PAD226
	" 536 (BC_2, IO_V12, input, X)," & --  PAD226
	" 537 (BC_2, *, controlr, 1)," &
	" 538 (BC_2, IO_V13, output3, X, 537, 1, PULL0)," & --  PAD225
	" 539 (BC_2, IO_V13, input, X)," & --  PAD225
	" 540 (BC_2, *, controlr, 1)," &
	" 541 (BC_2, IO_V6, output3, X, 540, 1, PULL0)," & --  PAD224
	" 542 (BC_2, IO_V6, input, X)," & --  PAD224
	" 543 (BC_2, *, controlr, 1)," &
	" 544 (BC_2, IO_V7, output3, X, 543, 1, PULL0)," & --  PAD223
	" 545 (BC_2, IO_V7, input, X)," & --  PAD223
	" 546 (BC_2, *, controlr, 1)," &
	" 547 (BC_2, IO_V15, output3, X, 546, 1, PULL0)," & --  PAD222
	" 548 (BC_2, IO_V15, input, X)," & --  PAD222
	" 549 (BC_2, *, controlr, 1)," &
	" 550 (BC_2, IO_U15, output3, X, 549, 1, PULL0)," & --  PAD221
	" 551 (BC_2, IO_U15, input, X)," & --  PAD221
	" 552 (BC_2, *, controlr, 1)," &
	" 553 (BC_2, IO_P12, output3, X, 552, 1, PULL0)," & --  PAD220
	" 554 (BC_2, IO_P12, input, X)," & --  PAD220
	" 555 (BC_2, *, controlr, 1)," &
	" 556 (BC_2, IO_P13, output3, X, 555, 1, PULL0)," & --  PAD219
	" 557 (BC_2, IO_P13, input, X)," & --  PAD219
	" 558 (BC_2, *, controlr, 1)," &
	" 559 (BC_2, IO_M11, output3, X, 558, 1, PULL0)," & --  PAD218
	" 560 (BC_2, IO_M11, input, X)," & --  PAD218
	" 561 (BC_2, *, controlr, 1)," &
	" 562 (BC_2, IO_N11, output3, X, 561, 1, PULL0)," & --  PAD217
	" 563 (BC_2, IO_N11, input, X)," & --  PAD217
	" 564 (BC_2, *, controlr, 1)," &
	" 565 (BC_2, IO_T13, output3, X, 564, 1, PULL0)," & --  PAD216
	" 566 (BC_2, IO_T13, input, X)," & --  PAD216
	" 567 (BC_2, *, controlr, 1)," &
	" 568 (BC_2, IO_T14, output3, X, 567, 1, PULL0)," & --  PAD215
	" 569 (BC_2, IO_T14, input, X)," & --  PAD215
	" 570 (BC_2, *, controlr, 1)," &
	" 571 (BC_2, IO_M10, output3, X, 570, 1, PULL0)," & --  PAD214
	" 572 (BC_2, IO_M10, input, X)," & --  PAD214
	" 573 (BC_2, *, controlr, 1)," &
	" 574 (BC_2, IO_N10, output3, X, 573, 1, PULL0)," & --  PAD213
	" 575 (BC_2, IO_N10, input, X)," & --  PAD213
	" 576 (BC_2, *, controlr, 1)," &
	" 577 (BC_2, IO_V16, output3, X, 576, 1, PULL0)," & --  PAD212
	" 578 (BC_2, IO_V16, input, X)," & --  PAD212
	" 579 (BC_2, *, controlr, 1)," &
	" 580 (BC_2, IO_U16, output3, X, 579, 1, PULL0)," & --  PAD211
	" 581 (BC_2, IO_U16, input, X)," & --  PAD211
	" 582 (BC_2, *, controlr, 1)," &
	" 583 (BC_2, IO_P9, output3, X, 582, 1, PULL0)," & --  PAD210
	" 584 (BC_2, IO_P9, input, X)," & --  PAD210
	" 585 (BC_2, *, controlr, 1)," &
	" 586 (BC_2, IO_P10, output3, X, 585, 1, PULL0)," & --  PAD209
	" 587 (BC_2, IO_P10, input, X)," & --  PAD209
	" 588 (BC_2, *, controlr, 1)," &
	" 589 (BC_2, IO_V17, output3, X, 588, 1, PULL0)," & --  PAD208
	" 590 (BC_2, IO_V17, input, X)," & --  PAD208
	" 591 (BC_2, *, controlr, 1)," &
	" 592 (BC_2, IO_V18, output3, X, 591, 1, PULL0)," & --  PAD207
	" 593 (BC_2, IO_V18, input, X)," & --  PAD207
	" 594 (BC_2, *, controlr, 1)," &
	" 595 (BC_2, IO_T9, output3, X, 594, 1, PULL0)," & --  PAD206
	" 596 (BC_2, IO_T9, input, X)," & --  PAD206
	" 597 (BC_2, *, controlr, 1)," &
	" 598 (BC_2, IO_R9, output3, X, 597, 1, PULL0)," & --  PAD205
	" 599 (BC_2, IO_R9, input, X)," & --  PAD205
	" 600 (BC_2, *, controlr, 1)," &
	" 601 (BC_2, IO_T16, output3, X, 600, 1, PULL0)," & --  PAD204
	" 602 (BC_2, IO_T16, input, X)," & --  PAD204
	" 603 (BC_2, *, controlr, 1)," &
	" 604 (BC_2, IO_R15, output3, X, 603, 1, PULL0)," & --  PAD203
	" 605 (BC_2, IO_R15, input, X)," & --  PAD203
	" 606 (BC_2, *, controlr, 1)," &
	" 607 (BC_2, IO_T7, output3, X, 606, 1, PULL0)," & --  PAD202
	" 608 (BC_2, IO_T7, input, X)," & --  PAD202
	" 609 (BC_2, *, controlr, 1)," &
	" 610 (BC_2, IO_T8, output3, X, 609, 1, PULL0)," & --  PAD201
	" 611 (BC_2, IO_T8, input, X)," & --  PAD201
	" 612 (BC_2, M0_N12, input, X)," &
	" 613 (BC_2, M1_L11, input, X)," &
	" 614 (BC_2, M2_N13, input, X)," &
	" 615 (BC_2, *, controlr, 1)," &
	" 616 (BC_2, DOUT_BUSY_T6, output3, X, 615, 1, PULL1)," &
	" 617 (BC_2, RDWR_B_P15, input, X)," &
	" 618 (BC_2, CS_B_R16, input, X)," &
	" 619 (BC_2, D_IN_R7, input, X)," &
	" 620 (BC_2, *, controlr, 1)," &
	" 621 (BC_2, DONE_P8, output3, X, 620, 1, PULL1)," &
	" 622 (BC_2, DONE_P8, input, X)," &
	" 623 (BC_2, *, controlr, 1)," &
	" 624 (BC_2, CCLK_N8, output3, X, 623, 1, PULL1)," &
	" 625 (BC_2, CCLK_N8, input, X)," &
	" 626 (BC_2, *, controlr, 1)," &
	" 627 (BC_2, INIT_B_M8, output3, X, 626, 1, PULL1)," &
	" 628 (BC_2, INIT_B_M8, input, X)," &
	" 629 (BC_2, *, internal, 1)," & --  PROG_B
	" 630 (BC_2, HSWAP_EN_T17, input, 1)," &
	" 631 (BC_2, *, controlr, 1)," &
	" 632 (BC_2, IO_C6, output3, X, 631, 1, PULL0)," & --  PAD200
	" 633 (BC_2, IO_C6, input, X)," & --  PAD200
	" 634 (BC_2, *, controlr, 1)," &
	" 635 (BC_2, IO_D7, output3, X, 634, 1, PULL0)," & --  PAD199
	" 636 (BC_2, IO_D7, input, X)," & --  PAD199
	" 637 (BC_2, *, controlr, 1)," &
	" 638 (BC_2, IO_H13, output3, X, 637, 1, PULL0)," & --  PAD198
	" 639 (BC_2, IO_H13, input, X)," & --  PAD198
	" 640 (BC_2, *, controlr, 1)," &
	" 641 (BC_2, IO_G13, output3, X, 640, 1, PULL0)," & --  PAD197
	" 642 (BC_2, IO_G13, input, X)," & --  PAD197
	" 643 (BC_2, *, controlr, 1)," &
	" 644 (BC_2, IO_E7, output3, X, 643, 1, PULL0)," & --  PAD196
	" 645 (BC_2, IO_E7, input, X)," & --  PAD196
	" 646 (BC_2, *, controlr, 1)," &
	" 647 (BC_2, IO_D8, output3, X, 646, 1, PULL0)," & --  PAD195
	" 648 (BC_2, IO_D8, input, X)," & --  PAD195
	" 649 (BC_2, *, controlr, 1)," &
	" 650 (BC_2, IO_F13, output3, X, 649, 1, PULL0)," & --  PAD194
	" 651 (BC_2, IO_F13, input, X)," & --  PAD194
	" 652 (BC_2, *, controlr, 1)," &
	" 653 (BC_2, IO_F12, output3, X, 652, 1, PULL0)," & --  PAD193
	" 654 (BC_2, IO_F12, input, X)," & --  PAD193
	" 655 (BC_2, *, controlr, 1)," &
	" 656 (BC_2, IO_F7, output3, X, 655, 1, PULL0)," & --  PAD192
	" 657 (BC_2, IO_F7, input, X)," & --  PAD192
	" 658 (BC_2, *, controlr, 1)," &
	" 659 (BC_2, IO_G8, output3, X, 658, 1, PULL0)," & --  PAD191
	" 660 (BC_2, IO_G8, input, X)," & --  PAD191
	" 661 (BC_2, *, controlr, 1)," &
	" 662 (BC_2, IO_D13, output3, X, 661, 1, PULL0)," & --  PAD190
	" 663 (BC_2, IO_D13, input, X)," & --  PAD190
	" 664 (BC_2, *, controlr, 1)," &
	" 665 (BC_2, IO_C12, output3, X, 664, 1, PULL0)," & --  PAD189
	" 666 (BC_2, IO_C12, input, X)," & --  PAD189
	" 667 (BC_2, *, controlr, 1)," &
	" 668 (BC_2, IO_G9, output3, X, 667, 1, PULL0)," & --  PAD188
	" 669 (BC_2, IO_G9, input, X)," & --  PAD188
	" 670 (BC_2, *, controlr, 1)," &
	" 671 (BC_2, IO_F8, output3, X, 670, 1, PULL0)," & --  PAD187
	" 672 (BC_2, IO_F8, input, X)," & --  PAD187
	" 673 (BC_2, *, controlr, 1)," &
	" 674 (BC_2, IO_D12, output3, X, 673, 1, PULL0)," & --  PAD186
	" 675 (BC_2, IO_D12, input, X)," & --  PAD186
	" 676 (BC_2, *, controlr, 1)," &
	" 677 (BC_2, IO_E12, output3, X, 676, 1, PULL0)," & --  PAD185
	" 678 (BC_2, IO_E12, input, X)," & --  PAD185
	" 679 (BC_2, *, controlr, 1)," &
	" 680 (BC_2, IO_F9, output3, X, 679, 1, PULL0)," & --  PAD184
	" 681 (BC_2, IO_F9, input, X)," & --  PAD184
	" 682 (BC_2, *, controlr, 1)," &
	" 683 (BC_2, IO_G10, output3, X, 682, 1, PULL0)," & --  PAD183
	" 684 (BC_2, IO_G10, input, X)," & --  PAD183
	" 685 (BC_2, *, controlr, 1)," &
	" 686 (BC_2, IO_G11, output3, X, 685, 1, PULL0)," & --  PAD182
	" 687 (BC_2, IO_G11, input, X)," & --  PAD182
	" 688 (BC_2, *, controlr, 1)," &
	" 689 (BC_2, IO_F11, output3, X, 688, 1, PULL0)," & --  PAD181
	" 690 (BC_2, IO_F11, input, X)," & --  PAD181
	" 691 (BC_2, *, controlr, 1)," &
	" 692 (BC_2, IO_A12, output3, X, 691, 1, PULL0)," & --  PAD180
	" 693 (BC_2, IO_A12, input, X)," & --  PAD180
	" 694 (BC_2, *, controlr, 1)," &
	" 695 (BC_2, IO_A11, output3, X, 694, 1, PULL0)," & --  PAD179
	" 696 (BC_2, IO_A11, input, X)," & --  PAD179
	" 697 (BC_2, *, controlr, 1)," &
	" 698 (BC_2, IO_C7, output3, X, 697, 1, PULL0)," & --  PAD178
	" 699 (BC_2, IO_C7, input, X)," & --  PAD178
	" 700 (BC_2, *, controlr, 1)," &
	" 701 (BC_2, IO_B6, output3, X, 700, 1, PULL0)," & --  PAD177
	" 702 (BC_2, IO_B6, input, X)," & --  PAD177
	" 703 (BC_2, *, controlr, 1)," &
	" 704 (BC_2, IO_C11, output3, X, 703, 1, PULL0)," & --  PAD176
	" 705 (BC_2, IO_C11, input, X)," & --  PAD176
	" 706 (BC_2, *, controlr, 1)," &
	" 707 (BC_2, IO_B11, output3, X, 706, 1, PULL0)," & --  PAD175
	" 708 (BC_2, IO_B11, input, X)," & --  PAD175
	" 709 (BC_2, *, controlr, 1)," &
	" 710 (BC_2, IO_A7, output3, X, 709, 1, PULL0)," & --  PAD174
	" 711 (BC_2, IO_A7, input, X)," & --  PAD174
	" 712 (BC_2, *, controlr, 1)," &
	" 713 (BC_2, IO_A6, output3, X, 712, 1, PULL0)," & --  PAD173
	" 714 (BC_2, IO_A6, input, X)," & --  PAD173
	" 715 (BC_2, *, controlr, 1)," &
	" 716 (BC_2, IO_C10, output3, X, 715, 1, PULL0)," & --  PAD172
	" 717 (BC_2, IO_C10, input, X)," & --  PAD172
	" 718 (BC_2, *, controlr, 1)," &
	" 719 (BC_2, IO_D10, output3, X, 718, 1, PULL0)," & --  PAD171
	" 720 (BC_2, IO_D10, input, X)," & --  PAD171
	" 721 (BC_2, *, controlr, 1)," &
	" 722 (BC_2, IO_B8, output3, X, 721, 1, PULL0)," & --  PAD170
	" 723 (BC_2, IO_B8, input, X)," & --  PAD170
	" 724 (BC_2, *, controlr, 1)," &
	" 725 (BC_2, IO_C8, output3, X, 724, 1, PULL0)," & --  PAD169
	" 726 (BC_2, IO_C8, input, X)," & --  PAD169
	" 727 (BC_2, *, controlr, 1)," &
	" 728 (BC_2, IO_E11, output3, X, 727, 1, PULL0)," & --  PAD168
	" 729 (BC_2, IO_E11, input, X)," & --  PAD168
	" 730 (BC_2, *, controlr, 1)," &
	" 731 (BC_2, IO_E10, output3, X, 730, 1, PULL0)," & --  PAD167
	" 732 (BC_2, IO_E10, input, X)," & --  PAD167
	" 733 (BC_2, *, controlr, 1)," &
	" 734 (BC_2, IO_D9, output3, X, 733, 1, PULL0)," & --  PAD166
	" 735 (BC_2, IO_D9, input, X)," & --  PAD166
	" 736 (BC_2, *, controlr, 1)," &
	" 737 (BC_2, IO_E9, output3, X, 736, 1, PULL0)," & --  PAD165
	" 738 (BC_2, IO_E9, input, X)," & --  PAD165
	" 739 (BC_2, *, controlr, 1)," &
	" 740 (BC_2, IO_B10, output3, X, 739, 1, PULL0)," & --  PAD164
	" 741 (BC_2, IO_B10, input, X)," & --  PAD164
	" 742 (BC_2, *, controlr, 1)," &
	" 743 (BC_2, IO_B9, output3, X, 742, 1, PULL0)," & --  PAD163
	" 744 (BC_2, IO_B9, input, X)," & --  PAD163
	" 745 (BC_2, *, controlr, 1)," &
	" 746 (BC_2, IO_A9, output3, X, 745, 1, PULL0)," & --  PAD162
	" 747 (BC_2, IO_A9, input, X)," & --  PAD162
	" 748 (BC_2, *, controlr, 1)," &
	" 749 (BC_2, IO_A8, output3, X, 748, 1, PULL0)," & --  PAD161
	" 750 (BC_2, IO_A8, input, X)," & --  PAD161
	" 751 (BC_1, *, internal, X)," &
	" 752 (BC_1, *, internal, X)," &
	" 753 (BC_1, *, internal, X)," &
	" 754 (BC_1, *, internal, X)," &
	" 755 (BC_1, *, internal, X)," &
	" 756 (BC_2, *, internal, 1)," & --  PAD160.T
	" 757 (BC_2, *, internal, X)," & --  PAD160.O
	" 758 (BC_2, *, internal, X)," & --  PAD160.I
	" 759 (BC_2, *, internal, 1)," & --  PAD159.T
	" 760 (BC_2, *, internal, X)," & --  PAD159.O
	" 761 (BC_2, *, internal, X)," & --  PAD159.I
	" 762 (BC_2, *, internal, 1)," & --  PAD158.T
	" 763 (BC_2, *, internal, X)," & --  PAD158.O
	" 764 (BC_2, *, internal, X)," & --  PAD158.I
	" 765 (BC_2, *, internal, 1)," & --  PAD157.T
	" 766 (BC_2, *, internal, X)," & --  PAD157.O
	" 767 (BC_2, *, internal, X)," & --  PAD157.I
	" 768 (BC_2, *, internal, 1)," & --  PAD156.T
	" 769 (BC_2, *, internal, X)," & --  PAD156.O
	" 770 (BC_2, *, internal, X)," & --  PAD156.I
	" 771 (BC_2, *, internal, 1)," & --  PAD155.T
	" 772 (BC_2, *, internal, X)," & --  PAD155.O
	" 773 (BC_2, *, internal, X)," & --  PAD155.I
	" 774 (BC_2, *, internal, 1)," & --  PAD154.T
	" 775 (BC_2, *, internal, X)," & --  PAD154.O
	" 776 (BC_2, *, internal, X)," & --  PAD154.I
	" 777 (BC_2, *, internal, 1)," & --  PAD153.T
	" 778 (BC_2, *, internal, X)," & --  PAD153.O
	" 779 (BC_2, *, internal, X)," & --  PAD153.I
	" 780 (BC_2, *, internal, 1)," & --  PAD152.T
	" 781 (BC_2, *, internal, X)," & --  PAD152.O
	" 782 (BC_2, *, internal, X)," & --  PAD152.I
	" 783 (BC_2, *, internal, 1)," & --  PAD151.T
	" 784 (BC_2, *, internal, X)," & --  PAD151.O
	" 785 (BC_2, *, internal, X)," & --  PAD151.I
	" 786 (BC_2, *, internal, 1)," & --  PAD150.T
	" 787 (BC_2, *, internal, X)," & --  PAD150.O
	" 788 (BC_2, *, internal, X)," & --  PAD150.I
	" 789 (BC_2, *, internal, 1)," & --  PAD149.T
	" 790 (BC_2, *, internal, X)," & --  PAD149.O
	" 791 (BC_2, *, internal, X)," & --  PAD149.I
	" 792 (BC_2, *, internal, 1)," & --  PAD148.T
	" 793 (BC_2, *, internal, X)," & --  PAD148.O
	" 794 (BC_2, *, internal, X)," & --  PAD148.I
	" 795 (BC_2, *, internal, 1)," & --  PAD147.T
	" 796 (BC_2, *, internal, X)," & --  PAD147.O
	" 797 (BC_2, *, internal, X)," & --  PAD147.I
	" 798 (BC_2, *, internal, 1)," & --  PAD146.T
	" 799 (BC_2, *, internal, X)," & --  PAD146.O
	" 800 (BC_2, *, internal, X)," & --  PAD146.I
	" 801 (BC_2, *, internal, 1)," & --  PAD145.T
	" 802 (BC_2, *, internal, X)," & --  PAD145.O
	" 803 (BC_2, *, internal, X)," & --  PAD145.I
	" 804 (BC_2, *, internal, 1)," & --  PAD144.T
	" 805 (BC_2, *, internal, X)," & --  PAD144.O
	" 806 (BC_2, *, internal, X)," & --  PAD144.I
	" 807 (BC_2, *, internal, 1)," & --  PAD143.T
	" 808 (BC_2, *, internal, X)," & --  PAD143.O
	" 809 (BC_2, *, internal, X)," & --  PAD143.I
	" 810 (BC_2, *, internal, 1)," & --  PAD142.T
	" 811 (BC_2, *, internal, X)," & --  PAD142.O
	" 812 (BC_2, *, internal, X)," & --  PAD142.I
	" 813 (BC_2, *, internal, 1)," & --  PAD141.T
	" 814 (BC_2, *, internal, X)," & --  PAD141.O
	" 815 (BC_2, *, internal, X)," & --  PAD141.I
	" 816 (BC_2, *, internal, 1)," & --  PAD140.T
	" 817 (BC_2, *, internal, X)," & --  PAD140.O
	" 818 (BC_2, *, internal, X)," & --  PAD140.I
	" 819 (BC_2, *, internal, 1)," & --  PAD139.T
	" 820 (BC_2, *, internal, X)," & --  PAD139.O
	" 821 (BC_2, *, internal, X)," & --  PAD139.I
	" 822 (BC_2, *, internal, 1)," & --  PAD138.T
	" 823 (BC_2, *, internal, X)," & --  PAD138.O
	" 824 (BC_2, *, internal, X)," & --  PAD138.I
	" 825 (BC_2, *, internal, 1)," & --  PAD137.T
	" 826 (BC_2, *, internal, X)," & --  PAD137.O
	" 827 (BC_2, *, internal, X)," & --  PAD137.I
	" 828 (BC_2, *, internal, 1)," & --  PAD136.T
	" 829 (BC_2, *, internal, X)," & --  PAD136.O
	" 830 (BC_2, *, internal, X)," & --  PAD136.I
	" 831 (BC_2, *, internal, 1)," & --  PAD135.T
	" 832 (BC_2, *, internal, X)," & --  PAD135.O
	" 833 (BC_2, *, internal, X)," & --  PAD135.I
	" 834 (BC_2, *, internal, 1)," & --  PAD134.T
	" 835 (BC_2, *, internal, X)," & --  PAD134.O
	" 836 (BC_2, *, internal, X)," & --  PAD134.I
	" 837 (BC_2, *, internal, 1)," & --  PAD133.T
	" 838 (BC_2, *, internal, X)," & --  PAD133.O
	" 839 (BC_2, *, internal, X)," & --  PAD133.I
	" 840 (BC_2, *, internal, 1)," & --  PAD132.T
	" 841 (BC_2, *, internal, X)," & --  PAD132.O
	" 842 (BC_2, *, internal, X)," & --  PAD132.I
	" 843 (BC_2, *, internal, 1)," & --  PAD131.T
	" 844 (BC_2, *, internal, X)," & --  PAD131.O
	" 845 (BC_2, *, internal, X)," & --  PAD131.I
	" 846 (BC_2, *, internal, 1)," & --  PAD130.T
	" 847 (BC_2, *, internal, X)," & --  PAD130.O
	" 848 (BC_2, *, internal, X)," & --  PAD130.I
	" 849 (BC_2, *, internal, 1)," & --  PAD129.T
	" 850 (BC_2, *, internal, X)," & --  PAD129.O
	" 851 (BC_2, *, internal, X)," & --  PAD129.I
	" 852 (BC_2, *, internal, 1)," & --  PAD128.T
	" 853 (BC_2, *, internal, X)," & --  PAD128.O
	" 854 (BC_2, *, internal, X)," & --  PAD128.I
	" 855 (BC_2, *, internal, 1)," & --  PAD127.T
	" 856 (BC_2, *, internal, X)," & --  PAD127.O
	" 857 (BC_2, *, internal, X)," & --  PAD127.I
	" 858 (BC_2, *, internal, 1)," & --  PAD126.T
	" 859 (BC_2, *, internal, X)," & --  PAD126.O
	" 860 (BC_2, *, internal, X)," & --  PAD126.I
	" 861 (BC_2, *, internal, 1)," & --  PAD125.T
	" 862 (BC_2, *, internal, X)," & --  PAD125.O
	" 863 (BC_2, *, internal, X)," & --  PAD125.I
	" 864 (BC_2, *, internal, 1)," & --  PAD124.T
	" 865 (BC_2, *, internal, X)," & --  PAD124.O
	" 866 (BC_2, *, internal, X)," & --  PAD124.I
	" 867 (BC_2, *, internal, 1)," & --  PAD123.T
	" 868 (BC_2, *, internal, X)," & --  PAD123.O
	" 869 (BC_2, *, internal, X)," & --  PAD123.I
	" 870 (BC_2, *, internal, 1)," & --  PAD122.T
	" 871 (BC_2, *, internal, X)," & --  PAD122.O
	" 872 (BC_2, *, internal, X)," & --  PAD122.I
	" 873 (BC_2, *, internal, 1)," & --  PAD121.T
	" 874 (BC_2, *, internal, X)," & --  PAD121.O
	" 875 (BC_2, *, internal, X)," & --  PAD121.I
	" 876 (BC_2, *, internal, 1)," & --  PAD120.T
	" 877 (BC_2, *, internal, X)," & --  PAD120.O
	" 878 (BC_2, *, internal, X)," & --  PAD120.I
	" 879 (BC_2, *, internal, 1)," & --  PAD119.T
	" 880 (BC_2, *, internal, X)," & --  PAD119.O
	" 881 (BC_2, *, internal, X)," & --  PAD119.I
	" 882 (BC_2, *, internal, 1)," & --  PAD118.T
	" 883 (BC_2, *, internal, X)," & --  PAD118.O
	" 884 (BC_2, *, internal, X)," & --  PAD118.I
	" 885 (BC_2, *, internal, 1)," & --  PAD117.T
	" 886 (BC_2, *, internal, X)," & --  PAD117.O
	" 887 (BC_2, *, internal, X)," & --  PAD117.I
	" 888 (BC_2, *, internal, 1)," & --  PAD116.T
	" 889 (BC_2, *, internal, X)," & --  PAD116.O
	" 890 (BC_2, *, internal, X)," & --  PAD116.I
	" 891 (BC_2, *, internal, 1)," & --  PAD115.T
	" 892 (BC_2, *, internal, X)," & --  PAD115.O
	" 893 (BC_2, *, internal, X)," & --  PAD115.I
	" 894 (BC_2, *, internal, 1)," & --  PAD114.T
	" 895 (BC_2, *, internal, X)," & --  PAD114.O
	" 896 (BC_2, *, internal, X)," & --  PAD114.I
	" 897 (BC_2, *, internal, 1)," & --  PAD113.T
	" 898 (BC_2, *, internal, X)," & --  PAD113.O
	" 899 (BC_2, *, internal, X)," & --  PAD113.I
	" 900 (BC_2, *, internal, 1)," & --  PAD112.T
	" 901 (BC_2, *, internal, X)," & --  PAD112.O
	" 902 (BC_2, *, internal, X)," & --  PAD112.I
	" 903 (BC_2, *, internal, 1)," & --  PAD111.T
	" 904 (BC_2, *, internal, X)," & --  PAD111.O
	" 905 (BC_2, *, internal, X)," & --  PAD111.I
	" 906 (BC_2, *, internal, 1)," & --  PAD110.T
	" 907 (BC_2, *, internal, X)," & --  PAD110.O
	" 908 (BC_2, *, internal, X)," & --  PAD110.I
	" 909 (BC_2, *, internal, 1)," & --  PAD109.T
	" 910 (BC_2, *, internal, X)," & --  PAD109.O
	" 911 (BC_2, *, internal, X)," & --  PAD109.I
	" 912 (BC_2, *, internal, 1)," & --  PAD108.T
	" 913 (BC_2, *, internal, X)," & --  PAD108.O
	" 914 (BC_2, *, internal, X)," & --  PAD108.I
	" 915 (BC_2, *, internal, 1)," & --  PAD107.T
	" 916 (BC_2, *, internal, X)," & --  PAD107.O
	" 917 (BC_2, *, internal, X)," & --  PAD107.I
	" 918 (BC_2, *, internal, 1)," & --  PAD106.T
	" 919 (BC_2, *, internal, X)," & --  PAD106.O
	" 920 (BC_2, *, internal, X)," & --  PAD106.I
	" 921 (BC_2, *, internal, 1)," & --  PAD105.T
	" 922 (BC_2, *, internal, X)," & --  PAD105.O
	" 923 (BC_2, *, internal, X)," & --  PAD105.I
	" 924 (BC_2, *, internal, 1)," & --  PAD104.T
	" 925 (BC_2, *, internal, X)," & --  PAD104.O
	" 926 (BC_2, *, internal, X)," & --  PAD104.I
	" 927 (BC_2, *, internal, 1)," & --  PAD103.T
	" 928 (BC_2, *, internal, X)," & --  PAD103.O
	" 929 (BC_2, *, internal, X)," & --  PAD103.I
	" 930 (BC_2, *, internal, 1)," & --  PAD102.T
	" 931 (BC_2, *, internal, X)," & --  PAD102.O
	" 932 (BC_2, *, internal, X)," & --  PAD102.I
	" 933 (BC_2, *, internal, 1)," & --  PAD101.T
	" 934 (BC_2, *, internal, X)," & --  PAD101.O
	" 935 (BC_2, *, internal, X)," & --  PAD101.I
	" 936 (BC_2, *, controlr, 1)," &
	" 937 (BC_2, IO_N17, output3, X, 936, 1, PULL0)," & --  PAD100
	" 938 (BC_2, IO_N17, input, X)," & --  PAD100
	" 939 (BC_2, *, controlr, 1)," &
	" 940 (BC_2, IO_N16, output3, X, 939, 1, PULL0)," & --  PAD99
	" 941 (BC_2, IO_N16, input, X)," & --  PAD99
	" 942 (BC_2, *, controlr, 1)," &
	" 943 (BC_2, IO_M16, output3, X, 942, 1, PULL0)," & --  PAD98
	" 944 (BC_2, IO_M16, input, X)," & --  PAD98
	" 945 (BC_2, *, controlr, 1)," &
	" 946 (BC_2, IO_M15, output3, X, 945, 1, PULL0)," & --  PAD97
	" 947 (BC_2, IO_M15, input, X)," & --  PAD97
	" 948 (BC_2, *, controlr, 1)," &
	" 949 (BC_2, IO_R17, output3, X, 948, 1, PULL0)," & --  PAD96
	" 950 (BC_2, IO_R17, input, X)," & --  PAD96
	" 951 (BC_2, *, controlr, 1)," &
	" 952 (BC_2, IO_P17, output3, X, 951, 1, PULL0)," & --  PAD95
	" 953 (BC_2, IO_P17, input, X)," & --  PAD95
	" 954 (BC_2, *, controlr, 1)," &
	" 955 (BC_2, IO_N15, output3, X, 954, 1, PULL0)," & --  PAD94
	" 956 (BC_2, IO_N15, input, X)," & --  PAD94
	" 957 (BC_2, *, controlr, 1)," &
	" 958 (BC_2, IO_M14, output3, X, 957, 1, PULL0)," & --  PAD93
	" 959 (BC_2, IO_M14, input, X)," & --  PAD93
	" 960 (BC_2, *, controlr, 1)," &
	" 961 (BC_2, IO_N18, output3, X, 960, 1, PULL0)," & --  PAD92
	" 962 (BC_2, IO_N18, input, X)," & --  PAD92
	" 963 (BC_2, *, controlr, 1)," &
	" 964 (BC_2, IO_P18, output3, X, 963, 1, PULL0)," & --  PAD91
	" 965 (BC_2, IO_P18, input, X)," & --  PAD91
	" 966 (BC_2, *, controlr, 1)," &
	" 967 (BC_2, IO_M13, output3, X, 966, 1, PULL0)," & --  PAD90
	" 968 (BC_2, IO_M13, input, X)," & --  PAD90
	" 969 (BC_2, *, controlr, 1)," &
	" 970 (BC_2, IO_L13, output3, X, 969, 1, PULL0)," & --  PAD89
	" 971 (BC_2, IO_L13, input, X)," & --  PAD89
	" 972 (BC_2, *, controlr, 1)," &
	" 973 (BC_2, IO_L18, output3, X, 972, 1, PULL0)," & --  PAD88
	" 974 (BC_2, IO_L18, input, X)," & --  PAD88
	" 975 (BC_2, *, controlr, 1)," &
	" 976 (BC_2, IO_M18, output3, X, 975, 1, PULL0)," & --  PAD87
	" 977 (BC_2, IO_M18, input, X)," & --  PAD87
	" 978 (BC_2, *, controlr, 1)," &
	" 979 (BC_2, IO_L14, output3, X, 978, 1, PULL0)," & --  PAD86
	" 980 (BC_2, IO_L14, input, X)," & --  PAD86
	" 981 (BC_2, *, controlr, 1)," &
	" 982 (BC_2, IO_K14, output3, X, 981, 1, PULL0)," & --  PAD85
	" 983 (BC_2, IO_K14, input, X)," & --  PAD85
	" 984 (BC_2, *, controlr, 1)," &
	" 985 (BC_2, IO_K16, output3, X, 984, 1, PULL0)," & --  PAD84
	" 986 (BC_2, IO_K16, input, X)," & --  PAD84
	" 987 (BC_2, *, controlr, 1)," &
	" 988 (BC_2, IO_L17, output3, X, 987, 1, PULL0)," & --  PAD83
	" 989 (BC_2, IO_L17, input, X)," & --  PAD83
	" 990 (BC_2, *, controlr, 1)," &
	" 991 (BC_2, IO_L16, output3, X, 990, 1, PULL0)," & --  PAD82
	" 992 (BC_2, IO_L16, input, X)," & --  PAD82
	" 993 (BC_2, *, controlr, 1)," &
	" 994 (BC_2, IO_K15, output3, X, 993, 1, PULL0)," & --  PAD81
	" 995 (BC_2, IO_K15, input, X)," & --  PAD81
	" 996 (BC_2, *, controlr, 1)," &
	" 997 (BC_2, IO_J14, output3, X, 996, 1, PULL0)," & --  PAD80
	" 998 (BC_2, IO_J14, input, X)," & --  PAD80
	" 999 (BC_2, *, controlr, 1)," &
	"1000 (BC_2, IO_J15, output3, X, 999, 1, PULL0)," & --  PAD79
	"1001 (BC_2, IO_J15, input, X)," & --  PAD79
	"1002 (BC_2, *, controlr, 1)," &
	"1003 (BC_2, IO_J18, output3, X, 1002, 1, PULL0)," & --  PAD78
	"1004 (BC_2, IO_J18, input, X)," & --  PAD78
	"1005 (BC_2, *, controlr, 1)," &
	"1006 (BC_2, IO_K17, output3, X, 1005, 1, PULL0)," & --  PAD77
	"1007 (BC_2, IO_K17, input, X)," & --  PAD77
	"1008 (BC_2, *, controlr, 1)," &
	"1009 (BC_2, IO_J17, output3, X, 1008, 1, PULL0)," & --  PAD76
	"1010 (BC_2, IO_J17, input, X)," & --  PAD76
	"1011 (BC_2, *, controlr, 1)," &
	"1012 (BC_2, IO_H17, output3, X, 1011, 1, PULL0)," & --  PAD75
	"1013 (BC_2, IO_H17, input, X)," & --  PAD75
	"1014 (BC_2, *, controlr, 1)," &
	"1015 (BC_2, IO_G18, output3, X, 1014, 1, PULL0)," & --  PAD74
	"1016 (BC_2, IO_G18, input, X)," & --  PAD74
	"1017 (BC_2, *, controlr, 1)," &
	"1018 (BC_2, IO_H18, output3, X, 1017, 1, PULL0)," & --  PAD73
	"1019 (BC_2, IO_H18, input, X)," & --  PAD73
	"1020 (BC_2, *, controlr, 1)," &
	"1021 (BC_2, IO_G16, output3, X, 1020, 1, PULL0)," & --  PAD72
	"1022 (BC_2, IO_G16, input, X)," & --  PAD72
	"1023 (BC_2, *, controlr, 1)," &
	"1024 (BC_2, IO_H16, output3, X, 1023, 1, PULL0)," & --  PAD71
	"1025 (BC_2, IO_H16, input, X)," & --  PAD71
	"1026 (BC_2, *, controlr, 1)," &
	"1027 (BC_2, IO_F17, output3, X, 1026, 1, PULL0)," & --  PAD70
	"1028 (BC_2, IO_F17, input, X)," & --  PAD70
	"1029 (BC_2, *, controlr, 1)," &
	"1030 (BC_2, IO_F18, output3, X, 1029, 1, PULL0)," & --  PAD69
	"1031 (BC_2, IO_F18, input, X)," & --  PAD69
	"1032 (BC_2, *, controlr, 1)," &
	"1033 (BC_2, IO_G15, output3, X, 1032, 1, PULL0)," & --  PAD68
	"1034 (BC_2, IO_G15, input, X)," & --  PAD68
	"1035 (BC_2, *, controlr, 1)," &
	"1036 (BC_2, IO_H15, output3, X, 1035, 1, PULL0)," & --  PAD67
	"1037 (BC_2, IO_H15, input, X)," & --  PAD67
	"1038 (BC_2, *, controlr, 1)," &
	"1039 (BC_2, IO_C18, output3, X, 1038, 1, PULL0)," & --  PAD66
	"1040 (BC_2, IO_C18, input, X)," & --  PAD66
	"1041 (BC_2, *, controlr, 1)," &
	"1042 (BC_2, IO_D18, output3, X, 1041, 1, PULL0)," & --  PAD65
	"1043 (BC_2, IO_D18, input, X)," & --  PAD65
	"1044 (BC_2, *, controlr, 1)," &
	"1045 (BC_2, IO_E15, output3, X, 1044, 1, PULL0)," & --  PAD64
	"1046 (BC_2, IO_E15, input, X)," & --  PAD64
	"1047 (BC_2, *, controlr, 1)," &
	"1048 (BC_2, IO_D15, output3, X, 1047, 1, PULL0)," & --  PAD63
	"1049 (BC_2, IO_D15, input, X)," & --  PAD63
	"1050 (BC_2, *, controlr, 1)," &
	"1051 (BC_2, IO_D17, output3, X, 1050, 1, PULL0)," & --  PAD62
	"1052 (BC_2, IO_D17, input, X)," & --  PAD62
	"1053 (BC_2, *, controlr, 1)," &
	"1054 (BC_2, IO_E17, output3, X, 1053, 1, PULL0)," & --  PAD61
	"1055 (BC_2, IO_E17, input, X)," & --  PAD61
	"1056 (BC_2, *, controlr, 1)," &
	"1057 (BC_2, IO_E16, output3, X, 1056, 1, PULL0)," & --  PAD60
	"1058 (BC_2, IO_E16, input, X)," & --  PAD60
	"1059 (BC_2, *, controlr, 1)," &
	"1060 (BC_2, IO_F16, output3, X, 1059, 1, PULL0)," & --  PAD59
	"1061 (BC_2, IO_F16, input, X)," & --  PAD59
	"1062 (BC_2, *, controlr, 1)," &
	"1063 (BC_2, IO_G14, output3, X, 1062, 1, PULL0)," & --  PAD58
	"1064 (BC_2, IO_G14, input, X)," & --  PAD58
	"1065 (BC_2, *, controlr, 1)," &
	"1066 (BC_2, IO_F14, output3, X, 1065, 1, PULL0)," & --  PAD57
	"1067 (BC_2, IO_F14, input, X)," & --  PAD57
	"1068 (BC_2, *, controlr, 1)," &
	"1069 (BC_2, IO_C17, output3, X, 1068, 1, PULL0)," & --  PAD56
	"1070 (BC_2, IO_C17, input, X)," & --  PAD56
	"1071 (BC_2, *, controlr, 1)," &
	"1072 (BC_2, IO_B18, output3, X, 1071, 1, PULL0)," & --  PAD55
	"1073 (BC_2, IO_B18, input, X)," & --  PAD55
	"1074 (BC_2, *, controlr, 1)," &
	"1075 (BC_2, IO_B13, output3, X, 1074, 1, PULL0)," & --  PAD54
	"1076 (BC_2, IO_B13, input, X)," & --  PAD54
	"1077 (BC_2, *, controlr, 1)," &
	"1078 (BC_2, IO_C13, output3, X, 1077, 1, PULL0)," & --  PAD53
	"1079 (BC_2, IO_C13, input, X)," & --  PAD53
	"1080 (BC_2, *, controlr, 1)," &
	"1081 (BC_2, IO_A18, output3, X, 1080, 1, PULL0)," & --  PAD52
	"1082 (BC_2, IO_A18, input, X)," & --  PAD52
	"1083 (BC_2, *, controlr, 1)," &
	"1084 (BC_2, IO_A17, output3, X, 1083, 1, PULL0)," & --  PAD51
	"1085 (BC_2, IO_A17, input, X)," & --  PAD51
	"1086 (BC_2, *, controlr, 1)," &
	"1087 (BC_2, IO_E14, output3, X, 1086, 1, PULL0)," & --  PAD50
	"1088 (BC_2, IO_E14, input, X)," & --  PAD50
	"1089 (BC_2, *, controlr, 1)," &
	"1090 (BC_2, IO_D14, output3, X, 1089, 1, PULL0)," & --  PAD49
	"1091 (BC_2, IO_D14, input, X)," & --  PAD49
	"1092 (BC_2, *, controlr, 1)," &
	"1093 (BC_2, IO_A16, output3, X, 1092, 1, PULL0)," & --  PAD48
	"1094 (BC_2, IO_A16, input, X)," & --  PAD48
	"1095 (BC_2, *, controlr, 1)," &
	"1096 (BC_2, IO_B16, output3, X, 1095, 1, PULL0)," & --  PAD47
	"1097 (BC_2, IO_B16, input, X)," & --  PAD47
	"1098 (BC_2, *, controlr, 1)," &
	"1099 (BC_2, IO_B15, output3, X, 1098, 1, PULL0)," & --  PAD46
	"1100 (BC_2, IO_B15, input, X)," & --  PAD46
	"1101 (BC_2, *, controlr, 1)," &
	"1102 (BC_2, IO_B14, output3, X, 1101, 1, PULL0)," & --  PAD45
	"1103 (BC_2, IO_B14, input, X)," & --  PAD45
	"1104 (BC_2, *, controlr, 1)," &
	"1105 (BC_2, IO_A14, output3, X, 1104, 1, PULL0)," & --  PAD44
	"1106 (BC_2, IO_A14, input, X)," & --  PAD44
	"1107 (BC_2, *, controlr, 1)," &
	"1108 (BC_2, IO_A13, output3, X, 1107, 1, PULL0)," & --  PAD43
	"1109 (BC_2, IO_A13, input, X)," & --  PAD43
	"1110 (BC_2, *, controlr, 1)," &
	"1111 (BC_2, IO_C16, output3, X, 1110, 1, PULL0)," & --  PAD42
	"1112 (BC_2, IO_C16, input, X)," & --  PAD42
	"1113 (BC_2, *, controlr, 1)," &
	"1114 (BC_2, IO_C15, output3, X, 1113, 1, PULL0)," & --  PAD41
	"1115 (BC_2, IO_C15, input, X)," & --  PAD41
	"1116 (BC_2, *, internal, 1)," & --  PAD40.T
	"1117 (BC_2, *, internal, X)," & --  PAD40.O
	"1118 (BC_2, *, internal, X)," & --  PAD40.I
	"1119 (BC_2, *, internal, 1)," & --  PAD39.T
	"1120 (BC_2, *, internal, X)," & --  PAD39.O
	"1121 (BC_2, *, internal, X)," & --  PAD39.I
	"1122 (BC_2, *, internal, 1)," & --  PAD38.T
	"1123 (BC_2, *, internal, X)," & --  PAD38.O
	"1124 (BC_2, *, internal, X)," & --  PAD38.I
	"1125 (BC_2, *, internal, 1)," & --  PAD37.T
	"1126 (BC_2, *, internal, X)," & --  PAD37.O
	"1127 (BC_2, *, internal, X)," & --  PAD37.I
	"1128 (BC_2, *, internal, 1)," & --  PAD36.T
	"1129 (BC_2, *, internal, X)," & --  PAD36.O
	"1130 (BC_2, *, internal, X)," & --  PAD36.I
	"1131 (BC_2, *, internal, 1)," & --  PAD35.T
	"1132 (BC_2, *, internal, X)," & --  PAD35.O
	"1133 (BC_2, *, internal, X)," & --  PAD35.I
	"1134 (BC_2, *, internal, 1)," & --  PAD34.T
	"1135 (BC_2, *, internal, X)," & --  PAD34.O
	"1136 (BC_2, *, internal, X)," & --  PAD34.I
	"1137 (BC_2, *, internal, 1)," & --  PAD33.T
	"1138 (BC_2, *, internal, X)," & --  PAD33.O
	"1139 (BC_2, *, internal, X)," & --  PAD33.I
	"1140 (BC_2, *, internal, 1)," & --  PAD32.T
	"1141 (BC_2, *, internal, X)," & --  PAD32.O
	"1142 (BC_2, *, internal, X)," & --  PAD32.I
	"1143 (BC_2, *, internal, 1)," & --  PAD31.T
	"1144 (BC_2, *, internal, X)," & --  PAD31.O
	"1145 (BC_2, *, internal, X)," & --  PAD31.I
	"1146 (BC_2, *, internal, 1)," & --  PAD30.T
	"1147 (BC_2, *, internal, X)," & --  PAD30.O
	"1148 (BC_2, *, internal, X)," & --  PAD30.I
	"1149 (BC_2, *, internal, 1)," & --  PAD29.T
	"1150 (BC_2, *, internal, X)," & --  PAD29.O
	"1151 (BC_2, *, internal, X)," & --  PAD29.I
	"1152 (BC_2, *, internal, 1)," & --  PAD28.T
	"1153 (BC_2, *, internal, X)," & --  PAD28.O
	"1154 (BC_2, *, internal, X)," & --  PAD28.I
	"1155 (BC_2, *, internal, 1)," & --  PAD27.T
	"1156 (BC_2, *, internal, X)," & --  PAD27.O
	"1157 (BC_2, *, internal, X)," & --  PAD27.I
	"1158 (BC_2, *, internal, 1)," & --  PAD26.T
	"1159 (BC_2, *, internal, X)," & --  PAD26.O
	"1160 (BC_2, *, internal, X)," & --  PAD26.I
	"1161 (BC_2, *, internal, 1)," & --  PAD25.T
	"1162 (BC_2, *, internal, X)," & --  PAD25.O
	"1163 (BC_2, *, internal, X)," & --  PAD25.I
	"1164 (BC_2, *, internal, 1)," & --  PAD24.T
	"1165 (BC_2, *, internal, X)," & --  PAD24.O
	"1166 (BC_2, *, internal, X)," & --  PAD24.I
	"1167 (BC_2, *, internal, 1)," & --  PAD23.T
	"1168 (BC_2, *, internal, X)," & --  PAD23.O
	"1169 (BC_2, *, internal, X)," & --  PAD23.I
	"1170 (BC_2, *, internal, 1)," & --  PAD22.T
	"1171 (BC_2, *, internal, X)," & --  PAD22.O
	"1172 (BC_2, *, internal, X)," & --  PAD22.I
	"1173 (BC_2, *, internal, 1)," & --  PAD21.T
	"1174 (BC_2, *, internal, X)," & --  PAD21.O
	"1175 (BC_2, *, internal, X)," & --  PAD21.I
	"1176 (BC_2, *, internal, 1)," & --  PAD20.T
	"1177 (BC_2, *, internal, X)," & --  PAD20.O
	"1178 (BC_2, *, internal, X)," & --  PAD20.I
	"1179 (BC_2, *, internal, 1)," & --  PAD19.T
	"1180 (BC_2, *, internal, X)," & --  PAD19.O
	"1181 (BC_2, *, internal, X)," & --  PAD19.I
	"1182 (BC_2, *, internal, 1)," & --  PAD18.T
	"1183 (BC_2, *, internal, X)," & --  PAD18.O
	"1184 (BC_2, *, internal, X)," & --  PAD18.I
	"1185 (BC_2, *, internal, 1)," & --  PAD17.T
	"1186 (BC_2, *, internal, X)," & --  PAD17.O
	"1187 (BC_2, *, internal, X)," & --  PAD17.I
	"1188 (BC_2, *, internal, 1)," & --  PAD16.T
	"1189 (BC_2, *, internal, X)," & --  PAD16.O
	"1190 (BC_2, *, internal, X)," & --  PAD16.I
	"1191 (BC_2, *, internal, 1)," & --  PAD15.T
	"1192 (BC_2, *, internal, X)," & --  PAD15.O
	"1193 (BC_2, *, internal, X)," & --  PAD15.I
	"1194 (BC_2, *, internal, 1)," & --  PAD14.T
	"1195 (BC_2, *, internal, X)," & --  PAD14.O
	"1196 (BC_2, *, internal, X)," & --  PAD14.I
	"1197 (BC_2, *, internal, 1)," & --  PAD13.T
	"1198 (BC_2, *, internal, X)," & --  PAD13.O
	"1199 (BC_2, *, internal, X)," & --  PAD13.I
	"1200 (BC_2, *, internal, 1)," & --  PAD12.T
	"1201 (BC_2, *, internal, X)," & --  PAD12.O
	"1202 (BC_2, *, internal, X)," & --  PAD12.I
	"1203 (BC_2, *, internal, 1)," & --  PAD11.T
	"1204 (BC_2, *, internal, X)," & --  PAD11.O
	"1205 (BC_2, *, internal, X)," & --  PAD11.I
	"1206 (BC_2, *, internal, 1)," & --  PAD10.T
	"1207 (BC_2, *, internal, X)," & --  PAD10.O
	"1208 (BC_2, *, internal, X)," & --  PAD10.I
	"1209 (BC_2, *, internal, 1)," & --  PAD9.T
	"1210 (BC_2, *, internal, X)," & --  PAD9.O
	"1211 (BC_2, *, internal, X)," & --  PAD9.I
	"1212 (BC_2, *, internal, 1)," & --  PAD8.T
	"1213 (BC_2, *, internal, X)," & --  PAD8.O
	"1214 (BC_2, *, internal, X)," & --  PAD8.I
	"1215 (BC_2, *, internal, 1)," & --  PAD7.T
	"1216 (BC_2, *, internal, X)," & --  PAD7.O
	"1217 (BC_2, *, internal, X)," & --  PAD7.I
	"1218 (BC_2, *, internal, 1)," & --  PAD6.T
	"1219 (BC_2, *, internal, X)," & --  PAD6.O
	"1220 (BC_2, *, internal, X)," & --  PAD6.I
	"1221 (BC_2, *, internal, 1)," & --  PAD5.T
	"1222 (BC_2, *, internal, X)," & --  PAD5.O
	"1223 (BC_2, *, internal, X)," & --  PAD5.I
	"1224 (BC_2, *, internal, 1)," & --  PAD4.T
	"1225 (BC_2, *, internal, X)," & --  PAD4.O
	"1226 (BC_2, *, internal, X)," & --  PAD4.I
	"1227 (BC_2, *, internal, 1)," & --  PAD3.T
	"1228 (BC_2, *, internal, X)," & --  PAD3.O
	"1229 (BC_2, *, internal, X)," & --  PAD3.I
	"1230 (BC_2, *, internal, 1)," & --  PAD2.T
	"1231 (BC_2, *, internal, X)," & --  PAD2.O
	"1232 (BC_2, *, internal, X)," & --  PAD2.I
	"1233 (BC_2, *, internal, 1)," & --  PAD1.T
	"1234 (BC_2, *, internal, X)," & --  PAD1.O
	"1235 (BC_2, *, internal, X)"; --  PAD1.I


-- Design Warning Section

attribute DESIGN_WARNING of XC5VLX30_FF324 : entity is
        "This is a preliminary BSDL file which has not been verified." &
	"When no bitstream is loaded and GTPs are not instantiated," &
		"the boundary-scan cells associated with GTPs will not" &
		"capture correct state information.  To model the boundary-" &
		"scan cell behavior correctly post-configuration, use" &
		"BSDLanno to modify the BSDL file." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "To avoid losing the current configuration, the boundary scan" &
                "test vectors should keep the PROG_B pin" &
                "high.  If the PROG_B pin goes low by any means," &
                "the configuration will be cleared." &
        "PROG_B can only be captured, not updated." &
                "The value at the pin is always used by the device." &
        "The disable result of a 3-stated I/O in this file" &
                "correspond to HSWAP_EN being high.  When HSWAP_EN" &
                "is low, change all PULL0s to PULL1." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control value is not captured properly when" &
                "GTS is activated." &
	"NOCONNECT pins should not be connected to any supply" &
		"or GND.  They should be left floating.";

end XC5VLX30_FF324;