BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: SMJ320C6701

----------------------------------------------------------------------------
---
--
-- File Type: BSDL description for top level entity TMS320C6701
-- Date Created: Mon Apr  7 17:35:15 1997
-- Tool Version: BSDArchitect v8.5_4.3  Wed Oct 30 06:18:53 PST 1996
--
-- Manual changes by F. Walzer 11/97
-- now runs through the ASSET translator
-- no physical checks so far
--
-- More manual changes by A. Ley   Dec  5 1997
-- Syntax and semantics verified against HP BSDL server
--   ( for info, see http://HPBScanCentral.invision1.com/ )
-- Still no physical checks have been made.
--
-- Further manual changes by A. Ley   Jun 16 1997
-- [ add compliance enable ]
--
-- Further Changes to fix ID and syntax errors (MGala) for TMS320C6701
--		[Dec. 16 1998] 
-- 
-- Modified for Military pinlist 01/13/03 S. Sams
--
-- Corrected compliance issue with linkage bit vectors
-- Corrected chain order swap between pins K1 and K2 (EA16, EA17).
-- 09/02/09 W. VonBergen 
----------------------------------------------------------------------------
---

entity SMJ320C6701 is

  generic(PHYSICAL_PIN_MAP : string := "GLP");

  port (CLKIN     : in bit;
        CLKOUT1   : out bit;
        CLKOUT2   : out bit;
        CLKMODE0  : in bit;
        CLKMODE1  : in bit;
        PLLFREQ3  : in bit;
        PLLFREQ2  : in bit;
        PLLFREQ1  : in bit;
        RSV2      : in bit;
        PLLV      : linkage bit;
        PLLG      : linkage bit;
        PLLF      : linkage bit;
        RSV5      : linkage bit;
        RSV4      : in bit;
        BOOTMODE4 : in bit;
        BOOTMODE3 : in bit;
        BOOTMODE2 : in bit;
        BOOTMODE1 : in bit;
        BOOTMODE0 : in bit;
        TMS       : in bit;
        TDO       : out bit;
        TDI       : in bit;
        TCK       : in bit;
        TRST_NEG  : in bit;
        EMU0      : in bit;  -- must be type in for compliance enable
                             -- non-JTAG type is inout
        EMU1      : in bit;  -- must be type in for compliance enable
                             -- non-JTAG type is inout
        RSV0      : in bit;
        RSV1      : in bit;
        RSV3      : in bit;
        RESET_NEG : in bit;
        NMI       : in bit;
        EXT_INT7  : in bit;
        EXT_INT6  : in bit;
        EXT_INT5  : in bit;
        EXT_INT4  : in bit;
        IACK      : out bit;
        INUM3     : out bit;
        INUM2     : out bit;
        INUM1     : out bit;
        INUM0     : out bit;
        LENDIAN   : in bit;
        PD        : out bit;
        DMAC3     : out bit;
        DMAC2     : out bit;
        DMAC1     : out bit;
        DMAC0     : out bit;
        HINT_NEG  : out bit;
        HCNTRL1   : in bit;
        HCNTRL0   : in bit;
        HHWIL     : in bit;
        HBE1_NEG  : in bit;
        HBE0_NEG  : in bit;
        HRW_NEG   : in bit;
        HD        : inout bit_vector(0 to 15);
        HAS_NEG   : in bit;
        HCS_NEG   : in bit;
        HDS1_NEG  : in bit;
        HDS2_NEG  : in bit;
        HRDY_NEG  : out bit;
        CE3_NEG   : out bit;
        CE2_NEG   : out bit;
        CE1_NEG   : out bit;
        CE0_NEG   : out bit;
        BE3_NEG   : out bit;
        BE2_NEG   : out bit;
        BE1_NEG   : out bit;
        BE0_NEG   : out bit;
        EA        : out bit_vector(2 to 21);
        ED        : inout bit_vector(0 to 31);
        ARE_NEG   : out bit;
        ARDY      : in bit;
        AOE_NEG   : out bit;
        AWE_NEG   : out bit;
        SSADS_NEG : out bit;
        SSOE_NEG  : out bit;
        SSWE_NEG  : out bit;
        SSCLK     : out bit;
        SDA10     : out bit;
        SDRAS_NEG : out bit;
        SDCAS_NEG : out bit;
        SDWE_NEG  : out bit;
        SDCLK     : out bit;
        HOLD_NEG  : in bit;
        HOLDA_NEG : out bit;
        TOUT1     : out bit;
        TINP1     : in bit;
        TOUT0     : out bit;
        TINP0     : in bit;
        CLKS1     : in bit;
        CLKR1     : inout bit;
        CLKX1     : inout bit;
        DR1       : in bit;
        DX1       : out bit;  
        FSR1      : inout bit;
        FSX1      : inout bit;
        CLKS0     : in bit;
        CLKR0     : inout bit;
        CLKX0     : inout bit;
        DR0       : in bit;
        DX0       : out bit;
        FSR0      : inout bit;
        FSX0      : inout bit;
        RSV6      : in bit;
        RSV7      : in bit;
        RSV8      : in bit;
        NC        : linkage bit_vector(1 to 19);
        VDD3V     : linkage bit_vector(1 to 40);
        VDD2V     : linkage bit_vector(1 to 91);
        GND       : linkage bit_vector(1 to 109));

  use STD_1149_1_1994.all;

  attribute COMPONENT_CONFORMANCE of SMJ320C6701 : entity is
"STD_1149_1_1993";

  attribute PIN_MAP of SMJ320C6701 : entity is PHYSICAL_PIN_MAP;
  constant GLP : PIN_MAP_STRING :=
    "CLKIN     : A14 ,"&
    "CLKOUT1   : Y6  ,"&
    "CLKOUT2   : V9  ,"&
    "CLKMODE1  : B17 ,"&
    "CLKMODE0  : C17 ,"&
    "PLLFREQ3  : C13 ,"&
    "PLLFREQ2  : G11 ,"&
    "PLLFREQ1  : F11 ,"&
    "RSV2      : B13 ,"&    
    "PLLV      : D12 ,"&
    "PLLG      : G10 ,"&
    "PLLF      : C12 ,"&
    "RSV5      : C15 ,"&
    "RSV4      : F13 ,"&
    "BOOTMODE4 : B16 ,"&
    "BOOTMODE3 : G14 ,"&
    "BOOTMODE2 : F15 ,"&
    "BOOTMODE1 : C18 ,"&
    "BOOTMODE0 : D17 ,"&
    "TMS       : K19 ,"&
    "TDO       : R12 ,"&
    "TDI       : R13 ,"&
    "TCK       : M20 ,"&
    "TRST_NEG  : N18 ,"&
    "EMU1      : R20 ,"&
    "EMU0      : T18 ,"&
    "RSV0      : N21 ,"&
    "RSV1      : K16 ,"&
    "RSV3      : B14 ,"&
    "RESET_NEG : J20 ,"&
    "NMI       : K21 ,"&
    "EXT_INT7  : R16 ,"&
    "EXT_INT6  : P20 ,"&
    "EXT_INT5  : R15 ,"&
    "EXT_INT4  : R18 ,"&
    "IACK      : R11 ,"&
    "INUM3     : T19 ,"&
    "INUM2     : T20 ,"&
    "INUM1     : T14 ,"&
    "INUM0     : T16 ,"&
    "LENDIAN   : G20 ,"&
    "PD        : D19 ,"&
    "DMAC3     : E18 ,"&
    "DMAC2     : F19 ,"&
    "DMAC1     : E20 ,"&
    "DMAC0     : G16 ,"&
    "HINT_NEG  : H2  ,"&
    "HCNTRL1   : J6  ,"&
    "HCNTRL0   : H6  ,"&
    "HHWIL     : E4  ,"&
    "HBE1_NEG  : G6  ,"&
    "HBE0_NEG  : F6  ,"&
    "HRW_NEG   : D4  ,"&
    "HD        :(C7  ,B7  ,D8  ,D9  ,B8  ,A9  ,C9  ,F9  ,"&
                "B9  ,C10 ,A10 ,D10 ,G9  ,A11 ,B11 ,D11 ),"&
    "HAS_NEG   : L6  ,"&
    "HCS_NEG   : C5  ,"&
    "HDS1_NEG  : C4  ,"&
    "HDS2_NEG  : K6  ,"&
    "HRDY_NEG  : H3  ,"&
    "CE3_NEG   : Y5  ,"&
    "CE2_NEG   : V3  ,"&
    "CE1_NEG   : T6  ,"&
    "CE0_NEG   : U2  ,"&
    "BE3_NEG   : R8  ,"&
    "BE2_NEG   : T3  ,"&
    "BE1_NEG   : T2  ,"&
    "BE0_NEG   : R2  ,"&
    "EA        :(P6  ,P1  ,P2  ,P3  ,P4  ,N6  ,N2  ,N1  ,"&
                "N4  ,M6  ,M2  ,M1  ,L1  ,L2  ,K2  ,K1  ,"&
                "J1  ,J2  ,L3  ,L4  ),"&
    "ED        :(W9  ,Y8  ,AA9 ,Y9  ,W10 ,Y10 ,AA10,V10 ,"&
                "Y11 ,Y12 ,W12 ,Y13 ,T10 ,AA13,V13 ,Y14 ,"&
                "R9  ,Y15 ,V14 ,W15 ,Y16 ,T11 ,Y17 ,T13 ,"&
                "W17 ,T12 ,V16 ,V17 ,V18 ,T15 ,U20 ,U18 ),"&
    "ARE_NEG   : R7  ,"&
    "ARDY      : R4  ,"&
    "AOE_NEG   : T7  ,"&
    "AWE_NEG   : V5  ,"&
    "SSADS_NEG : V8  ,"&
    "SSOE_NEG  : W7  ,"&
    "SSWE_NEG  : Y7  ,"&
    "SSCLK     : AA8 ,"&
    "SDA10     : V7  ,"&
    "SDRAS_NEG : V6  ,"&
    "SDCAS_NEG : W5  ,"&
    "SDWE_NEG  : T8  ,"&
    "SDCLK     : T9  ,"&
    "HOLD_NEG  : R6  ,"&
    "HOLDA_NEG : B15 ,"&
    "TOUT1     : G2  ,"&
    "TINP1     : K3  ,"&
    "TOUT0     : M18 ,"&
    "TINP0     : J18 ,"&
    "CLKS1     : F4  ,"&
    "CLKR1     : H4  ,"&
    "CLKX1     : J4  ,"&
    "DR1       : E2  ,"&
    "DX1       : G4  ,"&
    "FSR1      : F3  ,"&
    "FSX1      : F2  ,"&
    "CLKS0     : K18 ,"&
    "CLKR0     : L21 ,"&
    "CLKX0     : K20 ,"&
    "DR0       : J21 ,"&
    "DX0       : M21 ,"&
    "FSR0      : P16 ,"&
    "FSX0      : N16 ,"&
    "RSV6      : F7  ,"&
    "RSV7      : D7  ,"&
    "RSV8      : B5  ,"&
    "NC        :(D13 ,D14 ,D18 ,D3  ,D6  ,F12 ,F16 ,G12 ,G15 ,H19 ,"&
                "H20 ,H21 ,L16 ,M16 ,M19 ,V19 ,V4  ,W18 ,W4  ),"&
    "VDD3V     :(C14 ,C8  ,E19 ,E3  ,H11 ,H13 ,H9  ,J10 ,J12 ,J14 ,"&
                "J19 ,J3  ,J8  ,K11 ,K13 ,K15 ,K7  ,K9  ,L10 ,L12 ,"&
                "L14 ,L8  ,M11 ,M13 ,M15 ,M7  ,M9  ,N10 ,N12 ,N14 ,"&
                "N19 ,N3  ,N8  ,P11 ,P13 ,P9  ,U19 ,U3  ,W14 ,W8  ),"&
    "VDD2V     :(A12 ,A13 ,B10 ,B12 ,B6  ,D15 ,D16 ,F10 ,F14 ,F8  ,"&
                "G13 ,G7  ,G8  ,K4  ,M3  ,M4  ,A3  ,A5  ,A7  ,A16 ,"&
                "A18 ,AA4 ,AA6 ,AA15,AA17,AA19,B2  ,B4  ,B19 ,C1  ,"&
                "C3  ,C20 ,D2  ,D21 ,E1  ,E6  ,E8  ,E10 ,E12 ,E14 ,"&
                "E16 ,F5  ,F17 ,F21 ,G1  ,H5  ,H17 ,K5  ,K17 ,M5  ,"&
                "M17 ,P5  ,P17 ,R21 ,T1  ,T5  ,T17 ,U6  ,U8  ,U10 ,"&
                "U12 ,U14 ,U16 ,U21 ,V1  ,V20 ,W2  ,W19 ,W21 ,Y3  ,"&
                "Y18 ,Y20 ,AA11,AA12,F20 ,G18 ,H16 ,H18 ,L18 ,L19 ,"&
                "L20 ,N20 ,P18 ,P19 ,R10 ,R14 ,U4  ,V11 ,V12 ,V15 ,"&
                "W13 ),"&
    "GND       :(C11 ,C16 ,C6  ,D5  ,G3  ,H10 ,H12 ,H14 ,H7  ,H8  ,"&
                "J11 ,J13 ,J7  ,J9  ,K8  ,L7  ,L9  ,M8  ,N7  ,R3  ,"&
                "A4  ,A6  ,A8  ,A15 ,A17 ,A19 ,AA3 ,AA5 ,AA7 ,AA14,"&
                "AA16,AA18,B3  ,B18 ,B20 ,C2  ,C19 ,C21 ,D1  ,D20 ,"&
                "E5  ,E7  ,E9  ,E11 ,E13 ,E15 ,E17 ,E21 ,F1  ,G5  ,"&
                "G17 ,G21 ,H1  ,J5  ,J17 ,L5  ,L17 ,N5  ,N17 ,P21 ,"&
                "R1  ,R5  ,R17 ,T21 ,U1  ,U5  ,U7  ,U9  ,U11 ,U13 ,"&
                "U15 ,U17 ,V2  ,V21 ,W1  ,W3  ,W20 ,Y2  ,Y4  ,Y19 ,"&
                "F18 ,G19 ,H15 ,J15 ,J16 ,K10 ,K12 ,K14 ,L11 ,L13 ,"&
                "L15 ,M10 ,M12 ,M14 ,N11 ,N13 ,N15 ,N9  ,P10 ,P12 ,"&
                "P14 ,P15 ,P7  ,P8  ,R19 ,T4  ,W11 ,W16 ,W6  )";
  

  attribute TAP_SCAN_IN    of TDI : signal is true;
  attribute TAP_SCAN_MODE  of TMS : signal is true;
  attribute TAP_SCAN_OUT   of TDO : signal is true;
  attribute TAP_SCAN_CLOCK of TCK : signal is (20.0e6,BOTH);
  attribute TAP_SCAN_RESET of TRST_NEG : signal is true;

  attribute COMPLIANCE_PATTERNS of SMJ320C6701 : entity is "(EMU1,EMU0)(00)";

  attribute INSTRUCTION_LENGTH of SMJ320C6701 : entity is 4;
  attribute INSTRUCTION_OPCODE of SMJ320C6701 : entity is
    "idcode   (0100),"&
    "int_scan (0111),"&
    "extest   (0000),"&
    "sample   (0001),"&
    "bypass   (1111)";
  attribute INSTRUCTION_CAPTURE of SMJ320C6701 : entity is "0001";

  attribute IDCODE_REGISTER of SMJ320C6701 : entity is
     "00000000000001010101000000101111";

  attribute REGISTER_ACCESS of SMJ320C6701 : entity is
    "INTSCAN_REG[1] (int_scan)";
                  -- NOTE: Dummy value is used for register length.

  attribute BOUNDARY_LENGTH of SMJ320C6701 : entity is 247;

  attribute BOUNDARY_REGISTER of SMJ320C6701 : entity is
--   num  cell   port       function safe ccell disval rslt

    "0   (BC_1,  *        , internal,X),"&
    "1   (BC_4,  RSV0     , input  , X),"&
    "2   (BC_4,  EXT_INT7 , input  , X),"&
    "3   (BC_4,  EXT_INT6 , input  , X),"&
    "4   (BC_4,  EXT_INT5 , input  , X),"&
    "5   (BC_4,  EXT_INT4 , input  , X),"&
    "6   (BC_1,  *        , internal, 1),"&                 -- control for
-- EMU1
    "7   (BC_1,  *        , internal, X),"&                 -- EMU1 input
    "8   (BC_1,  *        , internal, X),"& --   6,  1, Z),"&  
    "9   (BC_1,  *        , internal, 1),"&                 -- control for 
-- EMU0
    "10  (BC_1,  *        , internal, X),"&                 -- EMU0 input
    "11  (BC_1,  *        , internal, X),"&  --  9,  1, Z),"&    
    "12  (BC_1,  *        , control, 1),"&
    "13  (BC_1,  IACK     , output3, X,   14,  1, Z),"&
    "14  (BC_1,  *        , control, 1),"&
    "15  (BC_1,  INUM3    , output3, X,   12,  1, Z),"&
    "16  (BC_1,  INUM2    , output3, X,   12,  1, Z),"&
    "17  (BC_1,  INUM1    , output3, X,   12,  1, Z),"&
    "18  (BC_1,  INUM0    , output3, X,   12,  1, Z),"&
    "19  (BC_1,  ED(31)   , input  , X),"&
    "20  (BC_1,  ED(31)   , output3, X,   25,  1, Z),"&
    "21  (BC_1,  ED(30)   , input  , X),"&
    "22  (BC_1,  ED(30)   , output3, X,   25,  1, Z),"&
    "23  (BC_1,  ED(29)   , input  , X),"&
    "24  (BC_1,  ED(29)   , output3, X,   25,  1, Z),"&
    "25  (BC_1,  *        , control, 1),"&
    "26  (BC_1,  ED(28)   , input  , X),"&
    "27  (BC_1,  ED(28)   , output3, X,   25,  1, Z),"&
    "28  (BC_1,  ED(27)   , input  , X),"&
    "29  (BC_1,  ED(27)   , output3, X,   25,  1, Z),"&
    "30  (BC_1,  ED(26)   , input  , X),"&
    "31  (BC_1,  ED(26)   , output3, X,   42,  1, Z),"&
    "32  (BC_1,  ED(25)   , input  , X),"&
    "33  (BC_1,  ED(25)   , output3, X,   42,  1, Z),"&
    "34  (BC_1,  ED(24)   , input  , X),"&
    "35  (BC_1,  ED(24)   , output3, X,   42,  1, Z),"&
    "36  (BC_1,  ED(23)   , input  , X),"&
    "37  (BC_1,  ED(23)   , output3, X,   42,  1, Z),"&
    "38  (BC_1,  ED(22)   , input  , X),"&
    "39  (BC_1,  ED(22)   , output3, X,   42,  1, Z),"&
    "40  (BC_1,  ED(21)   , input  , X),"&
    "41  (BC_1,  ED(21)   , output3, X,   42,  1, Z),"&
    "42  (BC_1,  *        , control, 1),"&
    "43  (BC_1,  ED(20)   , input  , X),"&
    "44  (BC_1,  ED(20)   , output3, X,   42,  1, Z),"&
    "45  (BC_1,  ED(19)   , input  , X),"&
    "46  (BC_1,  ED(19)   , output3, X,   42,  1, Z),"&
    "47  (BC_1,  ED(18)   , input  , X),"&
    "48  (BC_1,  ED(18)   , output3, X,   42,  1, Z),"&
    "49  (BC_1,  ED(17)   , input  , X),"&
    "50  (BC_1,  ED(17)   , output3, X,   42,  1, Z),"&
    "51  (BC_1,  ED(16)   , input  , X),"&
    "52  (BC_1,  ED(16)   , output3, X,   42,  1, Z),"&
    "53  (BC_1,  ED(15)   , input  , X),"&
    "54  (BC_1,  ED(15)   , output3, X,   42,  1, Z),"&
    "55  (BC_1,  ED(14)   , input  , X),"&
    "56  (BC_1,  ED(14)   , output3, X,   42,  1, Z),"&
    "57  (BC_1,  ED(13)   , input  , X),"&
    "58  (BC_1,  ED(13)   , output3, X,   71,  1, Z),"&
    "59  (BC_1,  ED(12)   , input  , X),"&
    "60  (BC_1,  ED(12)   , output3, X,   71,  1, Z),"&
    "61  (BC_1,  ED(11)   , input  , X),"&
    "62  (BC_1,  ED(11)   , output3, X,   71,  1, Z),"&
    "63  (BC_1,  ED(10)   , input  , X),"&
    "64  (BC_1,  ED(10)   , output3, X,   71,  1, Z),"&
    "65  (BC_1,  ED(09)   , input  , X),"&
    "66  (BC_1,  ED(09)   , output3, X,   71,  1, Z),"&
    "67  (BC_1,  ED(08)   , input  , X),"&
    "68  (BC_1,  ED(08)   , output3, X,   71,  1, Z),"&
    "69  (BC_1,  ED(07)   , input  , X),"&
    "70  (BC_1,  ED(07)   , output3, X,   71,  1, Z),"&
    "71  (BC_1,  *        , control, 1),"&
    "72  (BC_1,  ED(06)   , input  , X),"&
    "73  (BC_1,  ED(06)   , output3, X,   71,  1, Z),"&
    "74  (BC_1,  ED(05)   , input  , X),"&
    "75  (BC_1,  ED(05)   , output3, X,   71,  1, Z),"&
    "76  (BC_1,  ED(04)   , input  , X),"&
    "77  (BC_1,  ED(04)   , output3, X,   71,  1, Z),"&
    "78  (BC_1,  ED(03)   , input  , X),"&
    "79  (BC_1,  ED(03)   , output3, X,   71,  1, Z),"&
    "80  (BC_1,  ED(02)   , input  , X),"&
    "81  (BC_1,  ED(02)   , output3, X,   71,  1, Z),"&
    "82  (BC_1,  SSCLK    , output3, X,   88,  1, Z),"&
    "83  (BC_1,  ED(01)   , input  , X),"&
    "84  (BC_1,  ED(01)   , output3, X,   71,  1, Z),"&
    "85  (BC_1,  ED(00)   , input  , X),"&
    "86  (BC_1,  ED(00)   , output3, X,   71,  1, Z),"&
    "87  (BC_1,  *        , internal,X),"&
    "88  (BC_1,  *        , control, 1),"&
    "89  (BC_1,  CLKOUT2  , output3, X,   88,  1, Z),"&
    "90  (BC_1,  SSWE_NEG , output3, X,  102,  1, Z),"&
    "91  (BC_1,  SDCLK    , output3, X,   88,  1, Z),"&
    "92  (BC_1,  SSOE_NEG , output3, X,  102,  1, Z),"&
    "93  (BC_1,  CLKOUT1  , output3, X,   88,  1, Z),"&
    "94  (BC_1,  SSADS_NEG, output3, X,  102,  1, Z),"&
    "95  (BC_1,  SDA10    , output3, X,  102,  1, Z),"&
    "96  (BC_1,  CE3_NEG  , output3, X,  102,  1, Z),"&
    "97  (BC_1,  SDWE_NEG , output3, X,  102,  1, Z),"&
    "98  (BC_1,  SDCAS_NEG, output3, X,  102,  1, Z),"&
    "99  (BC_1,  SDRAS_NEG, output3, X,  102,  1, Z),"&
    "100 (BC_1,  AWE_NEG  , output3, X,  102,  1, Z),"&
    "101 (BC_1,  AOE_NEG  , output3, X,  102,  1, Z),"&
    "102 (BC_1,  *        , control, 1),"&
    "103 (BC_1,  CE2_NEG  , output3, X,  102,  1, Z),"&
    "104 (BC_1,  CE1_NEG  , output3, X,  102,  1, Z),"&
    "105 (BC_1,  CE0_NEG  , output3, X,  102,  1, Z),"&
    "106 (BC_1,  BE3_NEG  , output3, X,  102,  1, Z),"&
    "107 (BC_1,  BE2_NEG  , output3, X,  102,  1, Z),"&
    "108 (BC_1,  BE1_NEG  , output3, X,  102,  1, Z),"&
    "109 (BC_1,  HOLD_NEG , input  , X),"&
    "110 (BC_1,  ARE_NEG  , output3, X,  102,  1, Z),"&
    "111 (BC_1,  ARDY     , input  , X),"&
    "112 (BC_1,  BE0_NEG  , output3, X,  102,  1, Z),"&
    "113 (BC_1,  EA(02)   , output3, X,  118,  1, Z),"&
    "114 (BC_1,  EA(03)   , output3, X,  118,  1, Z),"&
    "115 (BC_1,  EA(04)   , output3, X,  118,  1, Z),"&
    "116 (BC_1,  EA(05)   , output3, X,  118,  1, Z),"&
    "117 (BC_1,  EA(06)   , output3, X,  118,  1, Z),"&
    "118 (BC_1,  *        , control, 1),"&
    "119 (BC_1,  EA(07)   , output3, X,  118,  1, Z),"&
    "120 (BC_1,  EA(08)   , output3, X,  118,  1, Z),"&
    "121 (BC_1,  EA(09)   , output3, X,  118,  1, Z),"&
    "122 (BC_1,  EA(10)   , output3, X,  118,  1, Z),"&
    "123 (BC_1,  EA(11)   , output3, X,  118,  1, Z),"&
    "124 (BC_1,  EA(12)   , output3, X,  129,  1, Z),"&
    "125 (BC_1,  EA(13)   , output3, X,  129,  1, Z),"&
    "126 (BC_1,  EA(14)   , output3, X,  129,  1, Z),"&
    "127 (BC_1,  EA(15)   , output3, X,  129,  1, Z),"&
    "128 (BC_1,  EA(17)   , output3, X,  129,  1, Z),"&
    "129 (BC_1,  *        , control, 1),"&
    "130 (BC_1,  EA(16)   , output3, X,  129,  1, Z),"&
    "131 (BC_1,  EA(18)   , output3, X,  129,  1, Z),"&
    "132 (BC_1,  EA(19)   , output3, X,  129,  1, Z),"&
    "133 (BC_1,  EA(20)   , output3, X,  129,  1, Z),"&
    "134 (BC_1,  EA(21)   , output3, X,  129,  1, Z),"&
    "135 (BC_1,  TINP1    , input  , X),"&
    "136 (BC_1,  HINT_NEG , output3, X,  137,  1, Z),"&
    "137 (BC_1,  *        , control, 1),"&
    "138 (BC_1,  HRDY_NEG , output3, X,  137,  1, Z),"&
    "139 (BC_1,  *        , control, 1),"&
    "140 (BC_1,  TOUT1    , output3, X,  139,  1, Z),"&
    "141 (BC_1,  CLKX1    , input  , X),"&
    "142 (BC_1,  CLKX1    , output3, X,  143,  1, Z),"&
    "143 (BC_1,  *        , control, 1),"&
    "144 (BC_1,  CLKR1    , input  , X),"&
    "145 (BC_1,  CLKR1    , output3, X,  146,  1, Z),"&
    "146 (BC_1,  *        , control, 1),"&
    "147 (BC_1,  FSX1     , input  , X),"&
    "148 (BC_1,  FSX1     , output3, X,  149,  1, Z),"&
    "149 (BC_1,  *        , control, 1),"&
    "150 (BC_1,  FSR1     , input  , X),"&
    "151 (BC_1,  FSR1     , output3, X,  152,  1, Z),"&
    "152 (BC_1,  *        , control, 1),"&
    "153 (BC_1,  DX1      , output3, X,  154,  1, Z),"&
    "154 (BC_1,  *        , control, 1),"&
    "155 (BC_1,  CLKS1    , input  , X),"&
    "156 (BC_1,  DR1      , input  , X),"&
    "157 (BC_1,  HCNTRL1  , input  , X),"&
    "158 (BC_1,  HCNTRL0  , input  , X),"&
    "159 (BC_1,  HHWIL    , input  , X),"&
    "160 (BC_1,  HBE1_NEG , input  , X),"&
    "161 (BC_1,  HBE0_NEG , input  , X),"&
    "162 (BC_1,  HRW_NEG  , input  , X),"&
    "163 (BC_4,  HDS1_NEG , input  , X),"&
    "164 (BC_4,  HDS2_NEG , input  , X),"&
    "165 (BC_4,  HCS_NEG  , input  , X),"&
    "166 (BC_4,  HAS_NEG  , input  , X),"&
    "167 (BC_1,  RSV8     , input  , X),"&
    "168 (BC_1,  RSV7     , input  , X),"&
    "169 (BC_1,  RSV6     , input  , X),"&
    "170 (BC_1,  HD(00)   , input  , X),"&
    "171 (BC_1,  HD(00)   , output3, X,  184,  1, Z),"&
    "172 (BC_1,  HD(01)   , input  , X),"&
    "173 (BC_1,  HD(01)   , output3, X,  184,  1, Z),"&
    "174 (BC_1,  HD(02)   , input  , X),"&
    "175 (BC_1,  HD(02)   , output3, X,  184,  1, Z),"&
    "176 (BC_1,  HD(03)   , input  , X),"&
    "177 (BC_1,  HD(03)   , output3, X,  184,  1, Z),"&
    "178 (BC_1,  HD(04)   , input  , X),"&
    "179 (BC_1,  HD(04)   , output3, X,  184,  1, Z),"&
    "180 (BC_1,  HD(05)   , input  , X),"&
    "181 (BC_1,  HD(05)   , output3, X,  184,  1, Z),"&
    "182 (BC_1,  HD(06)   , input  , X),"&
    "183 (BC_1,  HD(06)   , output3, X,  184,  1, Z),"&
    "184 (BC_1,  *        , control, 1),"&
    "185 (BC_1,  HD(07)   , input  , X),"&
    "186 (BC_1,  HD(07)   , output3, X,  184,  1, Z),"&
    "187 (BC_1,  HD(08)   , input  , X),"&
    "188 (BC_1,  HD(08)   , output3, X,  184,  1, Z),"&
    "189 (BC_1,  HD(09)   , input  , X),"&
    "190 (BC_1,  HD(09)   , output3, X,  184,  1, Z),"&
    "191 (BC_1,  HD(10)   , input  , X),"&
    "192 (BC_1,  HD(10)   , output3, X,  184,  1, Z),"&
    "193 (BC_1,  HD(11)   , input  , X),"&
    "194 (BC_1,  HD(11)   , output3, X,  184,  1, Z),"&
    "195 (BC_1,  HD(12)   , input  , X),"&
    "196 (BC_1,  HD(12)   , output3, X,  184,  1, Z),"&
    "197 (BC_1,  HD(13)   , input  , X),"&
    "198 (BC_1,  HD(13)   , output3, X,  184,  1, Z),"&
    "199 (BC_1,  HD(14)   , input  , X),"&
    "200 (BC_1,  HD(14)   , output3, X,  184,  1, Z),"&
    "201 (BC_1,  HD(15)   , input  , X),"&
    "202 (BC_1,  HD(15)   , output3, X,  184,  1, Z),"&
    "203 (BC_4,  RSV2     , input  , X),"&
    "204 (BC_4,  PLLFREQ1 , input  , X),"&
    "205 (BC_4,  PLLFREQ2 , input  , X),"&
    "206 (BC_4,  PLLFREQ3 , input  , X),"&
    "207 (BC_4,  CLKIN    , input  , X),"&
    "208 (BC_1,  RSV3     , input  , X),"&
    "209 (BC_1,  HOLDA_NEG, output3, X,  210,  1, Z),"&
    "210 (BC_1,  *        , control, 1),"&
    "211 (BC_1,  RSV4     , input  , X),"&
    "212 (BC_1,  BOOTMODE4, input  , X),"&
    "213 (BC_4,  CLKMODE1 , input  , X),"&
    "214 (BC_4,  CLKMODE0 , input  , X),"&
    "215 (BC_1,  BOOTMODE3, input  , X),"&
    "216 (BC_1,  BOOTMODE2, input  , X),"&
    "217 (BC_1,  BOOTMODE1, input  , X),"&
    "218 (BC_1,  BOOTMODE0, input  , X),"&
    "219 (BC_1,  PD       , output3, X,   12,  1, Z),"&
    "220 (BC_1,  DMAC3    , output3, X,   12,  1, Z),"&
    "221 (BC_1,  DMAC2    , output3, X,   12,  1, Z),"&
    "222 (BC_1,  DMAC1    , output3, X,   12,  1, Z),"&
    "223 (BC_1,  DMAC0    , output3, X,   12,  1, Z),"&
    "224 (BC_4,  RSV1     , input  , X),"&
    "225 (BC_1,  LENDIAN  , input  , X),"&
    "226 (BC_1,  TINP0    , input  , X),"&
    "227 (BC_1,  DR0      , input  , X),"&
    "228 (BC_1,  CLKS0    , input  , X),"&
    "229 (BC_4,  RESET_NEG, input  , X),"&
    "230 (BC_1,  NMI      , input  , X),"&
    "231 (BC_1,  *        , control, 1),"&
    "232 (BC_1,  TOUT0    , output3, X,  231,  1, Z),"&
    "233 (BC_1,  CLKX0    , input  , X),"&
    "234 (BC_1,  CLKX0    , output3, X,  235,  1, Z),"&
    "235 (BC_1,  *        , control, 1),"&
    "236 (BC_1,  CLKR0    , input  , X),"&
    "237 (BC_1,  CLKR0    , output3, X,  238,  1, Z),"&
    "238 (BC_1,  *        , control, 1),"&
    "239 (BC_1,  FSX0     , input  , X),"&
    "240 (BC_1,  FSX0     , output3, X,  241,  1, Z),"&
    "241 (BC_1,  *        , control, 1),"&
    "242 (BC_1,  FSR0     , input  , X),"&
    "243 (BC_1,  FSR0     , output3, X,  244,  1, Z),"&
    "244 (BC_1,  *        , control, 1),"&
    "245 (BC_1,  DX0      , output3, X,  246,  1, Z),"&
    "246 (BC_1,  *        , control, 1)";

end SMJ320C6701;