BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XQR4VFX60_CF1143

--$ XILINX$RCSfile: xqr4vfx60_cf1143.bsd,v $
--$ XILINX$Revision: 1.4 $
--
-- BSDL file for device XQR4VFX60, package CF1143
-- Xilinx, Inc. $State: PRELIMINARY $ $Date: 2008-05-22 14:19:36-07 $
-- Generated by bsdlnet Version 1.13
-- Generated on Fri May 06, 2005  10:47:05 PDT
------------------------------------------------------------------------
-- | Generated on 08/14/07
-- | CR # 440168
-- | Details -  Changed ISC_SECURITY names and removed attribute;
-- |		1) Changed ISC_SECURITY_DATA to ISC_KEY_DATA
-- |		2) Changed ISC_PROGRAM_SECURITY to ISC_PROGRAM_KEY
-- |		3) Removed ISC_SECURITY attribute definition (6 lines)
------------------------------------------------------------------------
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- or contact our technical support at:
--
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	+44 870 7350 610	eurosupport@xilinx.com
--	France		(33) 1 3463 0100	eurosupport@xilinx.com
--	Germany		(49) 89 991 54930	eurosupport@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- To avoid power-down, the boundary scan test vectors should keep
-- the PWRDWN_B pin high.
--
-- To prevent losing the current configuration, the boundary scan
-- test vectors should keep the PROG_B pin high.
--
-- PROG_B and PWRDWN_B can be updated.  The boundary register cells marked
-- PROG_B and PWRDWN_B must be set to 1 for proper operation.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an LVTTL
-- input buffer. In order to properly capture a logic high value at one
-- of these IOBs into its input boundary scan cell, VCCO must be
-- at least 2V (Vih for LVTTL).
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- The disable result of a 3-stated I/O in this file correspond
-- to HSWAP_EN being high.  If HSWAP_EN is low, every PULL0 should
-- be changed to PULL1.

------------------------------------------------------------------------
-- | Generated on 01/17/08
-- | CR # 456709, 457564, 456386
-- | Details -  Added Design Warning for Shift-IR
-- |	     -	Changed from 64 bit shifts to 32 bit (ISC_PROGRAM), 1532 files.
------------------------------------------------------------------------
-- | Generated on 05/22/08
-- | CR # 473310
-- | Details - Changed last bit of ISC_DISABLE from 1 to 0.
-- |           This change undoes the change done 10/12/04.
------------------------------------------------------------------------
entity XQR4VFX60_CF1143 is

generic (PHYSICAL_PIN_MAP : string := "CF1143" );

port (
	AVCCAUXMGT_102: linkage bit;
	AVCCAUXMGT_103: linkage bit;
	AVCCAUXMGT_105: linkage bit;
	AVCCAUXMGT_106: linkage bit;
	AVCCAUXMGT_109: linkage bit;
	AVCCAUXMGT_110: linkage bit;
	AVCCAUXMGT_112: linkage bit;
	AVCCAUXMGT_113: linkage bit;
	AVCCAUXRXA_102: linkage bit;
	AVCCAUXRXA_103: linkage bit;
	AVCCAUXRXA_105: linkage bit;
	AVCCAUXRXA_106: linkage bit;
	AVCCAUXRXA_109: linkage bit;
	AVCCAUXRXA_110: linkage bit;
	AVCCAUXRXA_112: linkage bit;
	AVCCAUXRXA_113: linkage bit;
	AVCCAUXRXB_102: linkage bit;
	AVCCAUXRXB_103: linkage bit;
	AVCCAUXRXB_105: linkage bit;
	AVCCAUXRXB_106: linkage bit;
	AVCCAUXRXB_109: linkage bit;
	AVCCAUXRXB_110: linkage bit;
	AVCCAUXRXB_112: linkage bit;
	AVCCAUXRXB_113: linkage bit;
	AVCCAUXTX_102: linkage bit;
	AVCCAUXTX_103: linkage bit;
	AVCCAUXTX_105: linkage bit;
	AVCCAUXTX_106: linkage bit;
	AVCCAUXTX_109: linkage bit;
	AVCCAUXTX_110: linkage bit;
	AVCCAUXTX_112: linkage bit;
	AVCCAUXTX_113: linkage bit;
	AVDD_ADC_D18: linkage bit;
	AVDD_SM_AL15: linkage bit;
	AVSS_ADC_C18: linkage bit;
	AVSS_SM_AM15: linkage bit;
	CCLK_T18: inout bit;
	CS_B_T16: in bit;
	DONE_R19: inout bit;
	DOUT_BUSY_Y18: out bit;
	D_IN_R17: in bit;
	GND: linkage bit_vector (1 to 121);
	GNDA_102: linkage bit_vector (1 to 12);
	GNDA_103: linkage bit_vector (1 to 6);
	GNDA_105: linkage bit_vector (1 to 7);
	GNDA_106: linkage bit_vector (1 to 5);
	GNDA_109: linkage bit_vector (1 to 5);
	GNDA_110: linkage bit_vector (1 to 7);
	GNDA_112: linkage bit_vector (1 to 6);
	GNDA_113: linkage bit_vector (1 to 12);
	HSWAP_EN_P20: in bit;
	INIT_P19: inout bit;
	M0_V18: in bit;
	M1_W19: in bit;
	M2_T20: in bit;
	MGTCLK_N_102: linkage bit;
	MGTCLK_N_105: linkage bit;
	MGTCLK_N_110: linkage bit;
	MGTCLK_N_113: linkage bit;
	MGTCLK_P_102: linkage bit;
	MGTCLK_P_105: linkage bit;
	MGTCLK_P_110: linkage bit;
	MGTCLK_P_113: linkage bit;
	MGTVREF_105: linkage bit;
	MGTVREF_110: linkage bit;
	NOCONNECT: linkage bit_vector (1 to 44);
	PROG_B: in bit;
	PWRDWN_B: in bit;
	RDWR_B_W15: in bit;
	RTERM_105: linkage bit;
	RTERM_110: linkage bit;
	RXNPADA_102: linkage bit;
	RXNPADA_103: linkage bit;
	RXNPADA_105: linkage bit;
	RXNPADA_106: linkage bit;
	RXNPADA_109: linkage bit;
	RXNPADA_110: linkage bit;
	RXNPADA_112: linkage bit;
	RXNPADA_113: linkage bit;
	RXNPADB_102: linkage bit;
	RXNPADB_103: linkage bit;
	RXNPADB_105: linkage bit;
	RXNPADB_106: linkage bit;
	RXNPADB_109: linkage bit;
	RXNPADB_110: linkage bit;
	RXNPADB_112: linkage bit;
	RXNPADB_113: linkage bit;
	RXPPADA_102: linkage bit;
	RXPPADA_103: linkage bit;
	RXPPADA_105: linkage bit;
	RXPPADA_106: linkage bit;
	RXPPADA_109: linkage bit;
	RXPPADA_110: linkage bit;
	RXPPADA_112: linkage bit;
	RXPPADA_113: linkage bit;
	RXPPADB_102: linkage bit;
	RXPPADB_103: linkage bit;
	RXPPADB_105: linkage bit;
	RXPPADB_106: linkage bit;
	RXPPADB_109: linkage bit;
	RXPPADB_110: linkage bit;
	RXPPADB_112: linkage bit;
	RXPPADB_113: linkage bit;
	TCK: in bit;
	TDI: in bit;
	TDN_D17: linkage bit;
	TDO: out bit;
	TDP_C17: linkage bit;
	TMS: in bit;
	TXNPADA_102: linkage bit;
	TXNPADA_103: linkage bit;
	TXNPADA_105: linkage bit;
	TXNPADA_106: linkage bit;
	TXNPADA_109: linkage bit;
	TXNPADA_110: linkage bit;
	TXNPADA_112: linkage bit;
	TXNPADA_113: linkage bit;
	TXNPADB_102: linkage bit;
	TXNPADB_103: linkage bit;
	TXNPADB_105: linkage bit;
	TXNPADB_106: linkage bit;
	TXNPADB_109: linkage bit;
	TXNPADB_110: linkage bit;
	TXNPADB_112: linkage bit;
	TXNPADB_113: linkage bit;
	TXPPADA_102: linkage bit;
	TXPPADA_103: linkage bit;
	TXPPADA_105: linkage bit;
	TXPPADA_106: linkage bit;
	TXPPADA_109: linkage bit;
	TXPPADA_110: linkage bit;
	TXPPADA_112: linkage bit;
	TXPPADA_113: linkage bit;
	TXPPADB_102: linkage bit;
	TXPPADB_103: linkage bit;
	TXPPADB_105: linkage bit;
	TXPPADB_106: linkage bit;
	TXPPADB_109: linkage bit;
	TXPPADB_110: linkage bit;
	TXPPADB_112: linkage bit;
	TXPPADB_113: linkage bit;
	VBATT_R21: linkage bit;
	VCCAUX: linkage bit_vector (1 to 20);
	VCCINT: linkage bit_vector (1 to 71);
	VCCO0: linkage bit_vector (1 to 4);
	VCCO1: linkage bit_vector (1 to 2);
	VCCO10: linkage bit_vector (1 to 8);
	VCCO11: linkage bit_vector (1 to 8);
	VCCO12: linkage bit_vector (1 to 8);
	VCCO2: linkage bit_vector (1 to 2);
	VCCO3: linkage bit_vector (1 to 2);
	VCCO4: linkage bit_vector (1 to 2);
	VCCO5: linkage bit_vector (1 to 9);
	VCCO6: linkage bit_vector (1 to 9);
	VCCO7: linkage bit_vector (1 to 9);
	VCCO8: linkage bit_vector (1 to 9);
	VCCO9: linkage bit_vector (1 to 8);
	VN_ADC_C20: linkage bit;
	VN_SM_AM17: linkage bit;
	VP_ADC_C19: linkage bit;
	VP_SM_AM16: linkage bit;
	VREFN_ADC_D20: linkage bit;
	VREFN_SM_AL17: linkage bit;
	VREFP_ADC_D19: linkage bit;
	VREFP_SM_AL16: linkage bit;
	VTRXA_102: linkage bit;
	VTRXA_103: linkage bit;
	VTRXA_105: linkage bit;
	VTRXA_106: linkage bit;
	VTRXA_109: linkage bit;
	VTRXA_110: linkage bit;
	VTRXA_112: linkage bit;
	VTRXA_113: linkage bit;
	VTRXB_102: linkage bit;
	VTRXB_103: linkage bit;
	VTRXB_105: linkage bit;
	VTRXB_106: linkage bit;
	VTRXB_109: linkage bit;
	VTRXB_110: linkage bit;
	VTRXB_112: linkage bit;
	VTRXB_113: linkage bit;
	VTTXA_102: linkage bit;
	VTTXA_103: linkage bit;
	VTTXA_105: linkage bit;
	VTTXA_106: linkage bit;
	VTTXA_109: linkage bit;
	VTTXA_110: linkage bit;
	VTTXA_112: linkage bit;
	VTTXA_113: linkage bit;
	VTTXB_102: linkage bit;
	VTTXB_103: linkage bit;
	VTTXB_105: linkage bit;
	VTTXB_106: linkage bit;
	VTTXB_109: linkage bit;
	VTTXB_110: linkage bit;
	VTTXB_112: linkage bit;
	VTTXB_113: linkage bit;
	IO_C3: inout bit; --  PAD384
	IO_C4: inout bit; --  PAD383
	IO_C5: inout bit; --  PAD372
	IO_C7: inout bit; --  PAD363
	IO_C8: inout bit; --  PAD336
	IO_C9: inout bit; --  PAD335
	IO_C10: inout bit; --  PAD324
	IO_C12: inout bit; --  PAD338
	IO_C13: inout bit; --  PAD337
	IO_C14: inout bit; --  PAD366
	IO_C15: inout bit; --  PAD365
	IO_C22: inout bit; --  PAD12
	IO_C23: inout bit; --  PAD11
	IO_C24: inout bit; --  PAD9
	IO_C25: inout bit; --  PAD22
	IO_C27: inout bit; --  PAD42
	IO_C28: inout bit; --  PAD41
	IO_C29: inout bit; --  PAD68
	IO_C30: inout bit; --  PAD76
	IO_C32: inout bit; --  PAD84
	IO_D4: inout bit; --  PAD376
	IO_D5: inout bit; --  PAD375
	IO_D6: inout bit; --  PAD371
	IO_D7: inout bit; --  PAD364
	IO_D9: inout bit; --  PAD331
	IO_D10: inout bit; --  PAD323
	IO_D11: inout bit; --  PAD334
	IO_D12: inout bit; --  PAD333
	IO_D14: inout bit; --  PAD362
	IO_D15: inout bit; --  PAD370
	IO_D16: inout bit; --  PAD369
	IO_D21: inout bit; --  PAD35
	IO_D22: inout bit; --  PAD19
	IO_D24: inout bit; --  PAD10
	IO_D25: inout bit; --  PAD21
	IO_D26: inout bit; --  PAD30
	IO_D27: inout bit; --  PAD38
	IO_D29: inout bit; --  PAD67
	IO_D30: inout bit; --  PAD75
	IO_D31: inout bit; --  PAD66
	IO_D32: inout bit; --  PAD83
	IO_E3: inout bit; --  PAD380
	IO_E4: inout bit; --  PAD379
	IO_E6: inout bit; --  PAD356
	IO_E7: inout bit; --  PAD355
	IO_E8: inout bit; --  PAD339
	IO_E9: inout bit; --  PAD332
	IO_E11: inout bit; --  PAD330
	IO_E12: inout bit; --  PAD358
	IO_E13: inout bit; --  PAD357
	IO_E14: inout bit; --  PAD361
	IO_E16: inout bit; --  PAD285
	IO_E17: inout bit; --  PAD282
	IO_E18: inout bit; --  PAD281
	IO_E19: inout bit; --  PAD51
	IO_E21: inout bit; --  PAD36
	IO_E22: inout bit; --  PAD20
	IO_E23: inout bit; --  PAD3
	IO_E24: inout bit; --  PAD5
	IO_E26: inout bit; --  PAD29
	IO_E27: inout bit; --  PAD37
	IO_E28: inout bit; --  PAD50
	IO_E29: inout bit; --  PAD80
	IO_E31: inout bit; --  PAD65
	IO_E32: inout bit; --  PAD69
	IO_F3: inout bit; --  PAD398
	IO_F4: inout bit; --  PAD397
	IO_F5: inout bit; --  PAD393
	IO_F6: inout bit; --  PAD367
	IO_F8: inout bit; --  PAD340
	IO_F9: inout bit; --  PAD326
	IO_F10: inout bit; --  PAD325
	IO_F11: inout bit; --  PAD329
	IO_F13: inout bit; --  PAD287
	IO_F14: inout bit; --  PAD284
	IO_F15: inout bit; --  PAD283
	IO_F16: inout bit; --  PAD286
	IO_F18: inout bit; --  PAD274
	IO_F19: inout bit; --  PAD52
	IO_F20: inout bit; --  PAD47
	IO_F21: inout bit; --  PAD31
	IO_F23: inout bit; --  PAD4
	IO_F24: inout bit; --  PAD6
	IO_F25: inout bit; --  PAD18
	IO_F26: inout bit; --  PAD26
	IO_F28: inout bit; --  PAD49
	IO_F29: inout bit; --  PAD79
	IO_F30: inout bit; --  PAD74
	IO_F31: inout bit; --  PAD70
	IO_G3: inout bit; --  PAD395
	IO_G5: inout bit; --  PAD394
	IO_G6: inout bit; --  PAD368
	IO_G7: inout bit; --  PAD351
	IO_G8: inout bit; --  PAD343
	IO_G10: inout bit; --  PAD321
	IO_G11: inout bit; --  PAD346
	IO_G12: inout bit; --  PAD345
	IO_G13: inout bit; --  PAD288
	IO_G15: inout bit; --  PAD279
	IO_G16: inout bit; --  PAD278
	IO_G17: inout bit; --  PAD277
	IO_G18: inout bit; --  PAD273
	IO_G20: inout bit; --  PAD48
	IO_G21: inout bit; --  PAD32
	IO_G22: inout bit; --  PAD15
	IO_G23: inout bit; --  PAD7
	IO_G25: inout bit; --  PAD17
	IO_G26: inout bit; --  PAD25
	IO_G27: inout bit; --  PAD46
	IO_G28: inout bit; --  PAD45
	IO_G30: inout bit; --  PAD73
	IO_G31: inout bit; --  PAD78
	IO_G32: inout bit; --  PAD77
	IO_H3: inout bit; --  PAD396
	IO_H4: inout bit; --  PAD388
	IO_H5: inout bit; --  PAD387
	IO_H7: inout bit; --  PAD352
	IO_H8: inout bit; --  PAD344
	IO_H9: inout bit; --  PAD327
	IO_H10: inout bit; --  PAD322
	IO_H12: inout bit; --  PAD354
	IO_H13: inout bit; --  PAD276
	IO_H14: inout bit; --  PAD275
	IO_H15: inout bit; --  PAD280
	IO_H17: inout bit; --  PAD257
	IO_H18: inout bit; --  PAD270
	IO_H19: inout bit; --  PAD269
	IO_H20: inout bit; --  PAD43
	IO_H22: inout bit; --  PAD16
	IO_H23: inout bit; --  PAD8
	IO_H24: inout bit; --  PAD1
	IO_H25: inout bit; --  PAD14
	IO_H27: inout bit; --  PAD72
	IO_H28: inout bit; --  PAD71
	IO_H29: inout bit; --  PAD92
	IO_H30: inout bit; --  PAD91
	IO_H32: inout bit; --  PAD100
	IO_J4: inout bit; --  PAD400
	IO_J5: inout bit; --  PAD399
	IO_J6: inout bit; --  PAD401
	IO_J7: inout bit; --  PAD347
	IO_J9: inout bit; --  PAD328
	IO_J10: inout bit; --  PAD342
	IO_J11: inout bit; --  PAD341
	IO_J12: inout bit; --  PAD353
	IO_J14: inout bit; --  PAD267
	IO_J15: inout bit; --  PAD264
	IO_J16: inout bit; --  PAD263
	IO_J17: inout bit; --  PAD258
	IO_J19: inout bit; --  PAD266
	IO_J20: inout bit; --  PAD44
	IO_J21: inout bit; --  PAD27
	IO_J22: inout bit; --  PAD23
	IO_J24: inout bit; --  PAD2
	IO_J25: inout bit; --  PAD13
	IO_J26: inout bit; --  PAD34
	IO_J27: inout bit; --  PAD88
	IO_J29: inout bit; --  PAD82
	IO_J30: inout bit; --  PAD86
	IO_J31: inout bit; --  PAD85
	IO_J32: inout bit; --  PAD99
	IO_K3: inout bit; --  PAD404
	IO_K4: inout bit; --  PAD403
	IO_K6: inout bit; --  PAD402
	IO_K7: inout bit; --  PAD348
	IO_K8: inout bit; --  PAD360
	IO_K9: inout bit; --  PAD359
	IO_K11: inout bit; --  PAD349
	IO_K12: inout bit; --  PAD374
	IO_K13: inout bit; --  PAD373
	IO_K14: inout bit; --  PAD268
	IO_K16: inout bit; --  PAD259
	IO_K17: inout bit; --  PAD262
	IO_K18: inout bit; --  PAD261
	IO_K19: inout bit; --  PAD265
	IO_K21: inout bit; --  PAD28
	IO_K22: inout bit; --  PAD24
	IO_K23: inout bit; --  PAD39
	IO_K24: inout bit; --  PAD53
	IO_K26: inout bit; --  PAD33
	IO_K28: inout bit; --  PAD87
	IO_K29: inout bit; --  PAD81
	IO_K31: inout bit; --  PAD94
	IO_K32: inout bit; --  PAD93
	IO_L3: inout bit; --  PAD412
	IO_L4: inout bit; --  PAD411
	IO_L5: inout bit; --  PAD410
	IO_L6: inout bit; --  PAD409
	IO_L8: inout bit; --  PAD386
	IO_L9: inout bit; --  PAD385
	IO_L10: inout bit; --  PAD389
	IO_L11: inout bit; --  PAD350
	IO_L13: inout bit; --  PAD378
	IO_L14: inout bit; --  PAD272
	IO_L15: inout bit; --  PAD271
	IO_L16: inout bit; --  PAD260
	IO_L21: inout bit; --  PAD55
	IO_L23: inout bit; --  PAD40
	IO_L24: inout bit; --  PAD54
	IO_L25: inout bit; --  PAD58
	IO_L26: inout bit; --  PAD57
	IO_L28: inout bit; --  PAD90
	IO_L29: inout bit; --  PAD89
	IO_L30: inout bit; --  PAD98
	IO_L31: inout bit; --  PAD97
	IO_M3: inout bit; --  PAD415
	IO_M5: inout bit; --  PAD414
	IO_M6: inout bit; --  PAD413
	IO_M7: inout bit; --  PAD392
	IO_M8: inout bit; --  PAD391
	IO_M10: inout bit; --  PAD390
	IO_M11: inout bit; --  PAD382
	IO_M12: inout bit; --  PAD381
	IO_M13: inout bit; --  PAD377
	IO_M22: inout bit; --  PAD56
	IO_M23: inout bit; --  PAD64
	IO_M25: inout bit; --  PAD96
	IO_M26: inout bit; --  PAD95
	IO_M28: inout bit; --  PAD104
	IO_M30: inout bit; --  PAD106
	IO_M31: inout bit; --  PAD108
	IO_M32: inout bit; --  PAD107
	IO_N3: inout bit; --  PAD416
	IO_N4: inout bit; --  PAD418
	IO_N5: inout bit; --  PAD417
	IO_N7: inout bit; --  PAD408
	IO_N8: inout bit; --  PAD407
	IO_N9: inout bit; --  PAD406
	IO_N10: inout bit; --  PAD405
	IO_N22: inout bit; --  PAD60
	IO_N23: inout bit; --  PAD63
	IO_N24: inout bit; --  PAD62
	IO_N27: inout bit; --  PAD103
	IO_N28: inout bit; --  PAD102
	IO_N29: inout bit; --  PAD101
	IO_N30: inout bit; --  PAD105
	IO_N32: inout bit; --  PAD114
	IO_P4: inout bit; --  PAD420
	IO_P5: inout bit; --  PAD419
	IO_P6: inout bit; --  PAD428
	IO_P7: inout bit; --  PAD427
	IO_P9: inout bit; --  PAD422
	IO_P10: inout bit; --  PAD421
	IO_P11: inout bit; --  PAD429
	IO_P22: inout bit; --  PAD59
	IO_P24: inout bit; --  PAD61
	IO_P26: inout bit; --  PAD112
	IO_P27: inout bit; --  PAD111
	IO_P29: inout bit; --  PAD118
	IO_P30: inout bit; --  PAD110
	IO_P31: inout bit; --  PAD109
	IO_P32: inout bit; --  PAD113
	IO_R3: inout bit; --  PAD424
	IO_R4: inout bit; --  PAD423
	IO_R6: inout bit; --  PAD432
	IO_R7: inout bit; --  PAD440
	IO_R8: inout bit; --  PAD439
	IO_R9: inout bit; --  PAD442
	IO_R11: inout bit; --  PAD430
	IO_R26: inout bit; --  PAD126
	IO_R27: inout bit; --  PAD120
	IO_R28: inout bit; --  PAD119
	IO_R29: inout bit; --  PAD117
	IO_R31: inout bit; --  PAD116
	IO_R32: inout bit; --  PAD115
	IO_T3: inout bit; --  PAD436
	IO_T4: inout bit; --  PAD426
	IO_T5: inout bit; --  PAD425
	IO_T6: inout bit; --  PAD431
	IO_T8: inout bit; --  PAD438
	IO_T9: inout bit; --  PAD441
	IO_T10: inout bit; --  PAD446
	IO_T11: inout bit; --  PAD445
	IO_T26: inout bit; --  PAD125
	IO_T28: inout bit; --  PAD124
	IO_T29: inout bit; --  PAD123
	IO_T30: inout bit; --  PAD122
	IO_T31: inout bit; --  PAD121
	IO_U3: inout bit; --  PAD435
	IO_U5: inout bit; --  PAD434
	IO_U6: inout bit; --  PAD448
	IO_U7: inout bit; --  PAD447
	IO_U8: inout bit; --  PAD437
	IO_U27: inout bit; --  PAD128
	IO_U28: inout bit; --  PAD127
	IO_U30: inout bit; --  PAD134
	IO_U31: inout bit; --  PAD132
	IO_U32: inout bit; --  PAD131
	IO_V3: inout bit; --  PAD444
	IO_V4: inout bit; --  PAD443
	IO_V5: inout bit; --  PAD433
	IO_V7: inout bit; --  PAD452
	IO_V8: inout bit; --  PAD451
	IO_V27: inout bit; --  PAD144
	IO_V28: inout bit; --  PAD130
	IO_V29: inout bit; --  PAD129
	IO_V30: inout bit; --  PAD133
	IO_V32: inout bit; --  PAD138
	IO_W4: inout bit; --  PAD450
	IO_W5: inout bit; --  PAD449
	IO_W6: inout bit; --  PAD456
	IO_W7: inout bit; --  PAD455
	IO_W9: inout bit; --  PAD471
	IO_W24: inout bit; --  PAD136
	IO_W25: inout bit; --  PAD135
	IO_W26: inout bit; --  PAD139
	IO_W27: inout bit; --  PAD143
	IO_W29: inout bit; --  PAD142
	IO_W30: inout bit; --  PAD146
	IO_W31: inout bit; --  PAD145
	IO_W32: inout bit; --  PAD137
	IO_Y3: inout bit; --  PAD460
	IO_Y4: inout bit; --  PAD459
	IO_Y6: inout bit; --  PAD468
	IO_Y7: inout bit; --  PAD458
	IO_Y8: inout bit; --  PAD457
	IO_Y9: inout bit; --  PAD472
	IO_Y24: inout bit; --  PAD151
	IO_Y26: inout bit; --  PAD140
	IO_Y27: inout bit; --  PAD150
	IO_Y28: inout bit; --  PAD149
	IO_Y29: inout bit; --  PAD141
	IO_Y31: inout bit; --  PAD148
	IO_Y32: inout bit; --  PAD147
	IO_AA3: inout bit; --  PAD464
	IO_AA4: inout bit; --  PAD454
	IO_AA5: inout bit; --  PAD453
	IO_AA6: inout bit; --  PAD467
	IO_AA8: inout bit; --  PAD474
	IO_AA9: inout bit; --  PAD473
	IO_AA11: inout bit; --  PAD514
	IO_AA13: inout bit; --  PAD518
	IO_AA24: inout bit; --  PAD152
	IO_AA25: inout bit; --  PAD162
	IO_AA26: inout bit; --  PAD161
	IO_AA28: inout bit; --  PAD158
	IO_AA29: inout bit; --  PAD157
	IO_AA30: inout bit; --  PAD154
	IO_AA31: inout bit; --  PAD153
	IO_AB3: inout bit; --  PAD463
	IO_AB5: inout bit; --  PAD462
	IO_AB6: inout bit; --  PAD466
	IO_AB7: inout bit; --  PAD465
	IO_AB8: inout bit; --  PAD488
	IO_AB11: inout bit; --  PAD513
	IO_AB12: inout bit; --  PAD522
	IO_AB13: inout bit; --  PAD517
	IO_AB25: inout bit; --  PAD168
	IO_AB26: inout bit; --  PAD167
	IO_AB27: inout bit; --  PAD166
	IO_AB28: inout bit; --  PAD165
	IO_AB30: inout bit; --  PAD160
	IO_AB31: inout bit; --  PAD159
	IO_AB32: inout bit; --  PAD155
	IO_AC3: inout bit; --  PAD476
	IO_AC4: inout bit; --  PAD475
	IO_AC5: inout bit; --  PAD461
	IO_AC7: inout bit; --  PAD487
	IO_AC9: inout bit; --  PAD510
	IO_AC10: inout bit; --  PAD509
	IO_AC12: inout bit; --  PAD521
	IO_AC13: inout bit; --  PAD526
	IO_AC22: inout bit; --  PAD200
	IO_AC23: inout bit; --  PAD199
	IO_AC25: inout bit; --  PAD183
	IO_AC27: inout bit; --  PAD174
	IO_AC28: inout bit; --  PAD173
	IO_AC29: inout bit; --  PAD164
	IO_AC30: inout bit; --  PAD163
	IO_AC32: inout bit; --  PAD156
	IO_AD4: inout bit; --  PAD480
	IO_AD5: inout bit; --  PAD479
	IO_AD6: inout bit; --  PAD504
	IO_AD7: inout bit; --  PAD503
	IO_AD9: inout bit; --  PAD536
	IO_AD10: inout bit; --  PAD535
	IO_AD11: inout bit; --  PAD533
	IO_AD12: inout bit; --  PAD529
	IO_AD14: inout bit; --  PAD525
	IO_AD19: inout bit; --  PAD317
	IO_AD20: inout bit; --  PAD306
	IO_AD21: inout bit; --  PAD305
	IO_AD22: inout bit; --  PAD216
	IO_AD24: inout bit; --  PAD247
	IO_AD25: inout bit; --  PAD184
	IO_AD26: inout bit; --  PAD180
	IO_AD27: inout bit; --  PAD179
	IO_AD29: inout bit; --  PAD172
	IO_AD30: inout bit; --  PAD171
	IO_AD31: inout bit; --  PAD170
	IO_AD32: inout bit; --  PAD169
	IO_AE3: inout bit; --  PAD484
	IO_AE4: inout bit; --  PAD483
	IO_AE6: inout bit; --  PAD478
	IO_AE7: inout bit; --  PAD494
	IO_AE9: inout bit; --  PAD568
	IO_AE11: inout bit; --  PAD534
	IO_AE12: inout bit; --  PAD530
	IO_AE13: inout bit; --  PAD541
	IO_AE14: inout bit; --  PAD537
	IO_AE16: inout bit; --  PAD308
	IO_AE17: inout bit; --  PAD312
	IO_AE18: inout bit; --  PAD311
	IO_AE19: inout bit; --  PAD318
	IO_AE21: inout bit; --  PAD309
	IO_AE22: inout bit; --  PAD215
	IO_AE23: inout bit; --  PAD232
	IO_AE24: inout bit; --  PAD248
	IO_AE26: inout bit; --  PAD234
	IO_AE27: inout bit; --  PAD233
	IO_AE28: inout bit; --  PAD229
	IO_AE29: inout bit; --  PAD185
	IO_AE31: inout bit; --  PAD178
	IO_AE32: inout bit; --  PAD177
	IO_AF3: inout bit; --  PAD470
	IO_AF4: inout bit; --  PAD492
	IO_AF5: inout bit; --  PAD491
	IO_AF6: inout bit; --  PAD477
	IO_AF8: inout bit; --  PAD493
	IO_AF9: inout bit; --  PAD567
	IO_AF10: inout bit; --  PAD551
	IO_AF11: inout bit; --  PAD565
	IO_AF13: inout bit; --  PAD542
	IO_AF14: inout bit; --  PAD538
	IO_AF15: inout bit; --  PAD545
	IO_AF16: inout bit; --  PAD307
	IO_AF18: inout bit; --  PAD319
	IO_AF19: inout bit; --  PAD314
	IO_AF20: inout bit; --  PAD313
	IO_AF21: inout bit; --  PAD310
	IO_AF23: inout bit; --  PAD231
	IO_AF24: inout bit; --  PAD254
	IO_AF25: inout bit; --  PAD253
	IO_AF26: inout bit; --  PAD245
	IO_AF28: inout bit; --  PAD230
	IO_AF29: inout bit; --  PAD186
	IO_AF30: inout bit; --  PAD182
	IO_AF31: inout bit; --  PAD181
	IO_AG3: inout bit; --  PAD469
	IO_AG5: inout bit; --  PAD508
	IO_AG6: inout bit; --  PAD507
	IO_AG7: inout bit; --  PAD502
	IO_AG8: inout bit; --  PAD501
	IO_AG10: inout bit; --  PAD552
	IO_AG11: inout bit; --  PAD566
	IO_AG12: inout bit; --  PAD569
	IO_AG13: inout bit; --  PAD561
	IO_AG15: inout bit; --  PAD546
	IO_AG16: inout bit; --  PAD316
	IO_AG17: inout bit; --  PAD315
	IO_AG18: inout bit; --  PAD320
	IO_AG20: inout bit; --  PAD301
	IO_AG21: inout bit; --  PAD294
	IO_AG22: inout bit; --  PAD293
	IO_AG23: inout bit; --  PAD228
	IO_AG25: inout bit; --  PAD249
	IO_AG26: inout bit; --  PAD246
	IO_AG27: inout bit; --  PAD226
	IO_AG28: inout bit; --  PAD225
	IO_AG30: inout bit; --  PAD190
	IO_AG31: inout bit; --  PAD189
	IO_AG32: inout bit; --  PAD175
	IO_AH3: inout bit; --  PAD496
	IO_AH4: inout bit; --  PAD495
	IO_AH5: inout bit; --  PAD512
	IO_AH7: inout bit; --  PAD520
	IO_AH8: inout bit; --  PAD519
	IO_AH9: inout bit; --  PAD540
	IO_AH10: inout bit; --  PAD547
	IO_AH12: inout bit; --  PAD570
	IO_AH13: inout bit; --  PAD562
	IO_AH14: inout bit; --  PAD557
	IO_AH15: inout bit; --  PAD553
	IO_AH17: inout bit; --  PAD295
	IO_AH18: inout bit; --  PAD304
	IO_AH19: inout bit; --  PAD303
	IO_AH20: inout bit; --  PAD302
	IO_AH22: inout bit; --  PAD289
	IO_AH23: inout bit; --  PAD227
	IO_AH24: inout bit; --  PAD240
	IO_AH25: inout bit; --  PAD250
	IO_AH27: inout bit; --  PAD241
	IO_AH28: inout bit; --  PAD214
	IO_AH29: inout bit; --  PAD213
	IO_AH30: inout bit; --  PAD191
	IO_AH32: inout bit; --  PAD176
	IO_AJ4: inout bit; --  PAD481
	IO_AJ5: inout bit; --  PAD511
	IO_AJ6: inout bit; --  PAD498
	IO_AJ7: inout bit; --  PAD516
	IO_AJ9: inout bit; --  PAD539
	IO_AJ10: inout bit; --  PAD548
	IO_AJ11: inout bit; --  PAD559
	IO_AJ12: inout bit; --  PAD563
	IO_AJ14: inout bit; --  PAD558
	IO_AJ15: inout bit; --  PAD554
	IO_AJ16: inout bit; --  PAD549
	IO_AJ17: inout bit; --  PAD296
	IO_AJ19: inout bit; --  PAD299
	IO_AJ20: inout bit; --  PAD298
	IO_AJ21: inout bit; --  PAD297
	IO_AJ22: inout bit; --  PAD290
	IO_AJ24: inout bit; --  PAD239
	IO_AJ25: inout bit; --  PAD256
	IO_AJ26: inout bit; --  PAD255
	IO_AJ27: inout bit; --  PAD242
	IO_AJ29: inout bit; --  PAD209
	IO_AJ30: inout bit; --  PAD192
	IO_AJ31: inout bit; --  PAD188
	IO_AJ32: inout bit; --  PAD187
	IO_AK3: inout bit; --  PAD482
	IO_AK4: inout bit; --  PAD490
	IO_AK6: inout bit; --  PAD497
	IO_AK7: inout bit; --  PAD515
	IO_AK8: inout bit; --  PAD528
	IO_AK9: inout bit; --  PAD532
	IO_AK11: inout bit; --  PAD560
	IO_AK12: inout bit; --  PAD564
	IO_AK13: inout bit; --  PAD575
	IO_AK14: inout bit; --  PAD573
	IO_AK16: inout bit; --  PAD550
	IO_AK17: inout bit; --  PAD292
	IO_AK18: inout bit; --  PAD291
	IO_AK19: inout bit; --  PAD300
	IO_AK21: inout bit; --  PAD208
	IO_AK22: inout bit; --  PAD224
	IO_AK23: inout bit; --  PAD223
	IO_AK24: inout bit; --  PAD236
	IO_AK26: inout bit; --  PAD238
	IO_AK27: inout bit; --  PAD237
	IO_AK28: inout bit; --  PAD221
	IO_AK29: inout bit; --  PAD210
	IO_AK31: inout bit; --  PAD194
	IO_AK32: inout bit; --  PAD193
	IO_AL3: inout bit; --  PAD500
	IO_AL4: inout bit; --  PAD489
	IO_AL5: inout bit; --  PAD486
	IO_AL6: inout bit; --  PAD506
	IO_AL8: inout bit; --  PAD527
	IO_AL9: inout bit; --  PAD531
	IO_AL10: inout bit; --  PAD543
	IO_AL11: inout bit; --  PAD555
	IO_AL13: inout bit; --  PAD576
	IO_AL14: inout bit; --  PAD574
	IO_AL18: inout bit; --  PAD196
	IO_AL19: inout bit; --  PAD195
	IO_AL20: inout bit; --  PAD204
	IO_AL21: inout bit; --  PAD207
	IO_AL23: inout bit; --  PAD220
	IO_AL24: inout bit; --  PAD235
	IO_AL25: inout bit; --  PAD243
	IO_AL26: inout bit; --  PAD251
	IO_AL28: inout bit; --  PAD222
	IO_AL29: inout bit; --  PAD206
	IO_AL30: inout bit; --  PAD202
	IO_AL31: inout bit; --  PAD201
	IO_AM3: inout bit; --  PAD499
	IO_AM5: inout bit; --  PAD485
	IO_AM6: inout bit; --  PAD505
	IO_AM7: inout bit; --  PAD524
	IO_AM8: inout bit; --  PAD523
	IO_AM10: inout bit; --  PAD544
	IO_AM11: inout bit; --  PAD556
	IO_AM12: inout bit; --  PAD572
	IO_AM13: inout bit; --  PAD571
	IO_AM20: inout bit; --  PAD203
	IO_AM21: inout bit; --  PAD212
	IO_AM22: inout bit; --  PAD211
	IO_AM23: inout bit; --  PAD219
	IO_AM25: inout bit; --  PAD244
	IO_AM26: inout bit; --  PAD252
	IO_AM27: inout bit; --  PAD218
	IO_AM28: inout bit; --  PAD217
	IO_AM30: inout bit; --  PAD205
	IO_AM31: inout bit; --  PAD198
	IO_AM32: inout bit --  PAD197
); --end port list

use STD_1149_1_1994.all;

attribute COMPONENT_CONFORMANCE of XQR4VFX60_CF1143 : entity is
	"STD_1149_1_1993";

attribute PIN_MAP of XQR4VFX60_CF1143 : entity is PHYSICAL_PIN_MAP;

constant CF1143: PIN_MAP_STRING:=
	"AVCCAUXMGT_102:J33," &
	"AVCCAUXMGT_103:AC33," &
	"AVCCAUXMGT_105:AN32," &
	"AVCCAUXMGT_106:AN18," &
	"AVCCAUXMGT_109:AN14," &
	"AVCCAUXMGT_110:AL2," &
	"AVCCAUXMGT_112:Y2," &
	"AVCCAUXMGT_113:F2," &
	"AVCCAUXRXA_102:B32," &
	"AVCCAUXRXA_103:T33," &
	"AVCCAUXRXA_105:AG33," &
	"AVCCAUXRXA_106:AN25," &
	"AVCCAUXRXA_109:AN7," &
	"AVCCAUXRXA_110:AD2," &
	"AVCCAUXRXA_112:N2," &
	"AVCCAUXRXA_113:B6," &
	"AVCCAUXRXB_102:K33," &
	"AVCCAUXRXB_103:AE33," &
	"AVCCAUXRXB_105:AN31," &
	"AVCCAUXRXB_106:AN17," &
	"AVCCAUXRXB_109:AN15," &
	"AVCCAUXRXB_110:AM2," &
	"AVCCAUXRXB_112:AB2," &
	"AVCCAUXRXB_113:G2," &
	"AVCCAUXTX_102:F33," &
	"AVCCAUXTX_103:Y33," &
	"AVCCAUXTX_105:AL33," &
	"AVCCAUXTX_106:AN22," &
	"AVCCAUXTX_109:AN10," &
	"AVCCAUXTX_110:AH2," &
	"AVCCAUXTX_112:U2," &
	"AVCCAUXTX_113:C2," &
	"AVDD_ADC_D18:D18," &
	"AVDD_SM_AL15:AL15," &
	"AVSS_ADC_C18:C18," &
	"AVSS_SM_AM15:AM15," &
	"CCLK_T18:T18," &
	"CS_B_T16:T16," &
	"DONE_R19:R19," &
	"DOUT_BUSY_Y18:Y18," &
	"D_IN_R17:R17," &
	"GND:(C11,C21,C31,D8,D28,E5,E15,E25,F12,F22," &
		"F32,G9,G19,G29,H6,H16,H26,J3,J13,J23," &
		"K10,K20,K30,L7,L17,L27,M4,M14,M18,M20," &
		"M24,N11,N15,N17,N21,N31,P8,P12,P14,P16," &
		"P18,P28,R5,R13,R15,R23,R25,T12,T22,T24," &
		"T32,U9,U13,U15,U17,U19,U21,U23,U25,U29," &
		"V6,V10,V12,V14,V16,V20,V22,V26,W3,W11," &
		"W13,W23,Y10,Y12,Y16,Y20,Y22,Y30,AA7,AA17," &
		"AA19,AA21,AA23,AA27,AB4,AB14,AB18,AB20,AB24,AC11," &
		"AC15,AC17,AC21,AC31,AD8,AD18,AD28,AE5,AE15,AE25," &
		"AF12,AF22,AF32,AG9,AG19,AG29,AH6,AH16,AH26,AJ3," &
		"AJ13,AJ23,AK10,AK20,AK30,AL7,AL27,AM4,AM14,AM18," &
		"AM24)," &
	"GNDA_102:(A30,B31,B33,C33,E33,H33,L33,L34," &
		"M33,N33,P33,P34)," &
	"GNDA_103:(R33,U33,W33,AB33,AD33,AE34)," &
	"GNDA_105:(AF33,AH33,AK33,AN28,AN30,AP27,AP30)," &
	"GNDA_106:(AN19,AN21,AN24,AN26,AP16)," &
	"GNDA_109:(AN6,AN8,AN11,AN13,AN16)," &
	"GNDA_110:(AC2,AE2,AG2,AK2,AN2,AN4,AP5)," &
	"GNDA_112:(M2,P2,T2,W2,AA2,AB1)," &
	"GNDA_113:(A8,B2,B3,B5,B7,E2,H1,H2,J2,K2,L1,L2)," &
	"HSWAP_EN_P20:P20," &
	"INIT_P19:P19," &
	"M0_V18:V18," &
	"M1_W19:W19," &
	"M2_T20:T20," &
	"MGTCLK_N_102:N34," &
	"MGTCLK_N_105:AP28," &
	"MGTCLK_N_110:AP4," &
	"MGTCLK_N_113:K1," &
	"MGTCLK_P_102:M34," &
	"MGTCLK_P_105:AP29," &
	"MGTCLK_P_110:AP3," &
	"MGTCLK_P_113:J1," &
	"MGTVREF_105:AN27," &
	"MGTVREF_110:AN5," &
	"NOCONNECT:(A9,A10,A11,A12,A13,A14,A15,A16,A17,A18," &
		"A19,A20,A21,A22,A23,A24,A25,A26,A27,A28," &
		"A29,B8,B9,B10,B11,B12,B13,B14,B15,B16," &
		"B17,B18,B19,B20,B21,B22,B23,B24,B25,B26," &
		"B27,B28,B29,B30)," &
	"PROG_B:P21," &
	"PWRDWN_B:AA16," &
	"RDWR_B_W15:W15," &
	"RTERM_105:AN29," &
	"RTERM_110:AN3," &
	"RXNPADA_102:A32," &
	"RXNPADA_103:T34," &
	"RXNPADA_105:AG34," &
	"RXNPADA_106:AP25," &
	"RXNPADA_109:AP7," &
	"RXNPADA_110:AD1," &
	"RXNPADA_112:N1," &
	"RXNPADA_113:A6," &
	"RXNPADB_102:K34," &
	"RXNPADB_103:AD34," &
	"RXNPADB_105:AP31," &
	"RXNPADB_106:AP17," &
	"RXNPADB_109:AP15," &
	"RXNPADB_110:AM1," &
	"RXNPADB_112:AA1," &
	"RXNPADB_113:G1," &
	"RXPPADA_102:A31," &
	"RXPPADA_103:R34," &
	"RXPPADA_105:AF34," &
	"RXPPADA_106:AP26," &
	"RXPPADA_109:AP6," &
	"RXPPADA_110:AC1," &
	"RXPPADA_112:M1," &
	"RXPPADA_113:A7," &
	"RXPPADB_102:J34," &
	"RXPPADB_103:AC34," &
	"RXPPADB_105:AP32," &
	"RXPPADB_106:AP18," &
	"RXPPADB_109:AP14," &
	"RXPPADB_110:AL1," &
	"RXPPADB_112:Y1," &
	"RXPPADB_113:F1," &
	"TCK:AA14," &
	"TDI:AA15," &
	"TDN_D17:D17," &
	"TDO:W17," &
	"TDP_C17:C17," &
	"TMS:Y14," &
	"TXNPADA_102:E34," &
	"TXNPADA_103:W34," &
	"TXNPADA_105:AK34," &
	"TXNPADA_106:AP22," &
	"TXNPADA_109:AP10," &
	"TXNPADA_110:AG1," &
	"TXNPADA_112:T1," &
	"TXNPADA_113:A3," &
	"TXNPADB_102:G34," &
	"TXNPADB_103:AA34," &
	"TXNPADB_105:AM34," &
	"TXNPADB_106:AP20," &
	"TXNPADB_109:AP12," &
	"TXNPADB_110:AJ1," &
	"TXNPADB_112:V1," &
	"TXNPADB_113:D1," &
	"TXPPADA_102:D34," &
	"TXPPADA_103:V34," &
	"TXPPADA_105:AJ34," &
	"TXPPADA_106:AP23," &
	"TXPPADA_109:AP9," &
	"TXPPADA_110:AF1," &
	"TXPPADA_112:R1," &
	"TXPPADA_113:A4," &
	"TXPPADB_102:F34," &
	"TXPPADB_103:Y34," &
	"TXPPADB_105:AL34," &
	"TXPPADB_106:AP21," &
	"TXPPADB_109:AP11," &
	"TXPPADB_110:AH1," &
	"TXPPADB_112:U1," &
	"TXPPADB_113:C1," &
	"VBATT_R21:R21," &
	"VCCAUX:(K27,L19,M16,N13,N19,N25,T14,U11,U16,U26," &
		"V9,V19,V24,W21,AB10,AB16,AB22,AC19,AD16,AE8)," &
	"VCCINT:(L18,L20,M15,M17,M19,M21,M27,N12,N14,N16," &
		"N18,N20,P13,P15,P17,P25,R12,R14,R16,R18," &
		"R22,R24,T13,T15,T19,T21,T23,T25,U10,U12," &
		"U14,U18,U20,U22,U24,V11,V13,V15,V17,V21," &
		"V23,V25,W10,W12,W14,W16,W20,W22,Y11,Y13," &
		"Y17,Y19,Y21,Y23,AA10,AA18,AA20,AA22,AB15,AB17," &
		"AB19,AB21,AB23,AC8,AC14,AC16,AC18,AC20,AC24,AD15," &
		"AD17)," &
	"VCCO0:(R20,T17,W18,Y15)," &
	"VCCO1:(F17,G14)," &
	"VCCO10:(G4,K5,M9,N6,P3,R10,T7,U4)," &
	"VCCO11:(V31,W28,Y25,AA32,AB29,AC26,AE30,AH31)," &
	"VCCO12:(W8,Y5,AB9,AC6,AD3,AF7,AG4,AK5)," &
	"VCCO2:(AH21,AJ18)," &
	"VCCO3:(J18,K15)," &
	"VCCO4:(AE20,AF17)," &
	"VCCO5:(C26,D23,E20,F27,G24,H21,K25,L22,P23)," &
	"VCCO6:(C6,C16,D3,D13,E10,F7,H11,J8,L12)," &
	"VCCO7:(AD23,AF27,AG24,AJ28,AK25,AL22,AL32,AM19,AM29)," &
	"VCCO8:(AA12,AD13,AE10,AG14,AH11,AJ8,AK15,AL12,AM9)," &
	"VCCO9:(E30,H31,J28,L32,M29,N26,R30,T27)," &
	"VN_ADC_C20:C20," &
	"VN_SM_AM17:AM17," &
	"VP_ADC_C19:C19," &
	"VP_SM_AM16:AM16," &
	"VREFN_ADC_D20:D20," &
	"VREFN_SM_AL17:AL17," &
	"VREFP_ADC_D19:D19," &
	"VREFP_SM_AL16:AL16," &
	"VTRXA_102:C34," &
	"VTRXA_103:U34," &
	"VTRXA_105:AH34," &
	"VTRXA_106:AP24," &
	"VTRXA_109:AP8," &
	"VTRXA_110:AE1," &
	"VTRXA_112:P1," &
	"VTRXA_113:A5," &
	"VTRXB_102:H34," &
	"VTRXB_103:AB34," &
	"VTRXB_105:AN33," &
	"VTRXB_106:AP19," &
	"VTRXB_109:AP13," &
	"VTRXB_110:AK1," &
	"VTRXB_112:W1," &
	"VTRXB_113:E1," &
	"VTTXA_102:D33," &
	"VTTXA_103:V33," &
	"VTTXA_105:AJ33," &
	"VTTXA_106:AN23," &
	"VTTXA_109:AN9," &
	"VTTXA_110:AF2," &
	"VTTXA_112:R2," &
	"VTTXA_113:B4," &
	"VTTXB_102:G33," &
	"VTTXB_103:AA33," &
	"VTTXB_105:AM33," &
	"VTTXB_106:AN20," &
	"VTTXB_109:AN12," &
	"VTTXB_110:AJ2," &
	"VTTXB_112:V2," &
	"VTTXB_113:D2," &
	"IO_C3:C3," &
	"IO_C4:C4," &
	"IO_C5:C5," &
	"IO_C7:C7," &
	"IO_C8:C8," &
	"IO_C9:C9," &
	"IO_C10:C10," &
	"IO_C12:C12," &
	"IO_C13:C13," &
	"IO_C14:C14," &
	"IO_C15:C15," &
	"IO_C22:C22," &
	"IO_C23:C23," &
	"IO_C24:C24," &
	"IO_C25:C25," &
	"IO_C27:C27," &
	"IO_C28:C28," &
	"IO_C29:C29," &
	"IO_C30:C30," &
	"IO_C32:C32," &
	"IO_D4:D4," &
	"IO_D5:D5," &
	"IO_D6:D6," &
	"IO_D7:D7," &
	"IO_D9:D9," &
	"IO_D10:D10," &
	"IO_D11:D11," &
	"IO_D12:D12," &
	"IO_D14:D14," &
	"IO_D15:D15," &
	"IO_D16:D16," &
	"IO_D21:D21," &
	"IO_D22:D22," &
	"IO_D24:D24," &
	"IO_D25:D25," &
	"IO_D26:D26," &
	"IO_D27:D27," &
	"IO_D29:D29," &
	"IO_D30:D30," &
	"IO_D31:D31," &
	"IO_D32:D32," &
	"IO_E3:E3," &
	"IO_E4:E4," &
	"IO_E6:E6," &
	"IO_E7:E7," &
	"IO_E8:E8," &
	"IO_E9:E9," &
	"IO_E11:E11," &
	"IO_E12:E12," &
	"IO_E13:E13," &
	"IO_E14:E14," &
	"IO_E16:E16," &
	"IO_E17:E17," &
	"IO_E18:E18," &
	"IO_E19:E19," &
	"IO_E21:E21," &
	"IO_E22:E22," &
	"IO_E23:E23," &
	"IO_E24:E24," &
	"IO_E26:E26," &
	"IO_E27:E27," &
	"IO_E28:E28," &
	"IO_E29:E29," &
	"IO_E31:E31," &
	"IO_E32:E32," &
	"IO_F3:F3," &
	"IO_F4:F4," &
	"IO_F5:F5," &
	"IO_F6:F6," &
	"IO_F8:F8," &
	"IO_F9:F9," &
	"IO_F10:F10," &
	"IO_F11:F11," &
	"IO_F13:F13," &
	"IO_F14:F14," &
	"IO_F15:F15," &
	"IO_F16:F16," &
	"IO_F18:F18," &
	"IO_F19:F19," &
	"IO_F20:F20," &
	"IO_F21:F21," &
	"IO_F23:F23," &
	"IO_F24:F24," &
	"IO_F25:F25," &
	"IO_F26:F26," &
	"IO_F28:F28," &
	"IO_F29:F29," &
	"IO_F30:F30," &
	"IO_F31:F31," &
	"IO_G3:G3," &
	"IO_G5:G5," &
	"IO_G6:G6," &
	"IO_G7:G7," &
	"IO_G8:G8," &
	"IO_G10:G10," &
	"IO_G11:G11," &
	"IO_G12:G12," &
	"IO_G13:G13," &
	"IO_G15:G15," &
	"IO_G16:G16," &
	"IO_G17:G17," &
	"IO_G18:G18," &
	"IO_G20:G20," &
	"IO_G21:G21," &
	"IO_G22:G22," &
	"IO_G23:G23," &
	"IO_G25:G25," &
	"IO_G26:G26," &
	"IO_G27:G27," &
	"IO_G28:G28," &
	"IO_G30:G30," &
	"IO_G31:G31," &
	"IO_G32:G32," &
	"IO_H3:H3," &
	"IO_H4:H4," &
	"IO_H5:H5," &
	"IO_H7:H7," &
	"IO_H8:H8," &
	"IO_H9:H9," &
	"IO_H10:H10," &
	"IO_H12:H12," &
	"IO_H13:H13," &
	"IO_H14:H14," &
	"IO_H15:H15," &
	"IO_H17:H17," &
	"IO_H18:H18," &
	"IO_H19:H19," &
	"IO_H20:H20," &
	"IO_H22:H22," &
	"IO_H23:H23," &
	"IO_H24:H24," &
	"IO_H25:H25," &
	"IO_H27:H27," &
	"IO_H28:H28," &
	"IO_H29:H29," &
	"IO_H30:H30," &
	"IO_H32:H32," &
	"IO_J4:J4," &
	"IO_J5:J5," &
	"IO_J6:J6," &
	"IO_J7:J7," &
	"IO_J9:J9," &
	"IO_J10:J10," &
	"IO_J11:J11," &
	"IO_J12:J12," &
	"IO_J14:J14," &
	"IO_J15:J15," &
	"IO_J16:J16," &
	"IO_J17:J17," &
	"IO_J19:J19," &
	"IO_J20:J20," &
	"IO_J21:J21," &
	"IO_J22:J22," &
	"IO_J24:J24," &
	"IO_J25:J25," &
	"IO_J26:J26," &
	"IO_J27:J27," &
	"IO_J29:J29," &
	"IO_J30:J30," &
	"IO_J31:J31," &
	"IO_J32:J32," &
	"IO_K3:K3," &
	"IO_K4:K4," &
	"IO_K6:K6," &
	"IO_K7:K7," &
	"IO_K8:K8," &
	"IO_K9:K9," &
	"IO_K11:K11," &
	"IO_K12:K12," &
	"IO_K13:K13," &
	"IO_K14:K14," &
	"IO_K16:K16," &
	"IO_K17:K17," &
	"IO_K18:K18," &
	"IO_K19:K19," &
	"IO_K21:K21," &
	"IO_K22:K22," &
	"IO_K23:K23," &
	"IO_K24:K24," &
	"IO_K26:K26," &
	"IO_K28:K28," &
	"IO_K29:K29," &
	"IO_K31:K31," &
	"IO_K32:K32," &
	"IO_L3:L3," &
	"IO_L4:L4," &
	"IO_L5:L5," &
	"IO_L6:L6," &
	"IO_L8:L8," &
	"IO_L9:L9," &
	"IO_L10:L10," &
	"IO_L11:L11," &
	"IO_L13:L13," &
	"IO_L14:L14," &
	"IO_L15:L15," &
	"IO_L16:L16," &
	"IO_L21:L21," &
	"IO_L23:L23," &
	"IO_L24:L24," &
	"IO_L25:L25," &
	"IO_L26:L26," &
	"IO_L28:L28," &
	"IO_L29:L29," &
	"IO_L30:L30," &
	"IO_L31:L31," &
	"IO_M3:M3," &
	"IO_M5:M5," &
	"IO_M6:M6," &
	"IO_M7:M7," &
	"IO_M8:M8," &
	"IO_M10:M10," &
	"IO_M11:M11," &
	"IO_M12:M12," &
	"IO_M13:M13," &
	"IO_M22:M22," &
	"IO_M23:M23," &
	"IO_M25:M25," &
	"IO_M26:M26," &
	"IO_M28:M28," &
	"IO_M30:M30," &
	"IO_M31:M31," &
	"IO_M32:M32," &
	"IO_N3:N3," &
	"IO_N4:N4," &
	"IO_N5:N5," &
	"IO_N7:N7," &
	"IO_N8:N8," &
	"IO_N9:N9," &
	"IO_N10:N10," &
	"IO_N22:N22," &
	"IO_N23:N23," &
	"IO_N24:N24," &
	"IO_N27:N27," &
	"IO_N28:N28," &
	"IO_N29:N29," &
	"IO_N30:N30," &
	"IO_N32:N32," &
	"IO_P4:P4," &
	"IO_P5:P5," &
	"IO_P6:P6," &
	"IO_P7:P7," &
	"IO_P9:P9," &
	"IO_P10:P10," &
	"IO_P11:P11," &
	"IO_P22:P22," &
	"IO_P24:P24," &
	"IO_P26:P26," &
	"IO_P27:P27," &
	"IO_P29:P29," &
	"IO_P30:P30," &
	"IO_P31:P31," &
	"IO_P32:P32," &
	"IO_R3:R3," &
	"IO_R4:R4," &
	"IO_R6:R6," &
	"IO_R7:R7," &
	"IO_R8:R8," &
	"IO_R9:R9," &
	"IO_R11:R11," &
	"IO_R26:R26," &
	"IO_R27:R27," &
	"IO_R28:R28," &
	"IO_R29:R29," &
	"IO_R31:R31," &
	"IO_R32:R32," &
	"IO_T3:T3," &
	"IO_T4:T4," &
	"IO_T5:T5," &
	"IO_T6:T6," &
	"IO_T8:T8," &
	"IO_T9:T9," &
	"IO_T10:T10," &
	"IO_T11:T11," &
	"IO_T26:T26," &
	"IO_T28:T28," &
	"IO_T29:T29," &
	"IO_T30:T30," &
	"IO_T31:T31," &
	"IO_U3:U3," &
	"IO_U5:U5," &
	"IO_U6:U6," &
	"IO_U7:U7," &
	"IO_U8:U8," &
	"IO_U27:U27," &
	"IO_U28:U28," &
	"IO_U30:U30," &
	"IO_U31:U31," &
	"IO_U32:U32," &
	"IO_V3:V3," &
	"IO_V4:V4," &
	"IO_V5:V5," &
	"IO_V7:V7," &
	"IO_V8:V8," &
	"IO_V27:V27," &
	"IO_V28:V28," &
	"IO_V29:V29," &
	"IO_V30:V30," &
	"IO_V32:V32," &
	"IO_W4:W4," &
	"IO_W5:W5," &
	"IO_W6:W6," &
	"IO_W7:W7," &
	"IO_W9:W9," &
	"IO_W24:W24," &
	"IO_W25:W25," &
	"IO_W26:W26," &
	"IO_W27:W27," &
	"IO_W29:W29," &
	"IO_W30:W30," &
	"IO_W31:W31," &
	"IO_W32:W32," &
	"IO_Y3:Y3," &
	"IO_Y4:Y4," &
	"IO_Y6:Y6," &
	"IO_Y7:Y7," &
	"IO_Y8:Y8," &
	"IO_Y9:Y9," &
	"IO_Y24:Y24," &
	"IO_Y26:Y26," &
	"IO_Y27:Y27," &
	"IO_Y28:Y28," &
	"IO_Y29:Y29," &
	"IO_Y31:Y31," &
	"IO_Y32:Y32," &
	"IO_AA3:AA3," &
	"IO_AA4:AA4," &
	"IO_AA5:AA5," &
	"IO_AA6:AA6," &
	"IO_AA8:AA8," &
	"IO_AA9:AA9," &
	"IO_AA11:AA11," &
	"IO_AA13:AA13," &
	"IO_AA24:AA24," &
	"IO_AA25:AA25," &
	"IO_AA26:AA26," &
	"IO_AA28:AA28," &
	"IO_AA29:AA29," &
	"IO_AA30:AA30," &
	"IO_AA31:AA31," &
	"IO_AB3:AB3," &
	"IO_AB5:AB5," &
	"IO_AB6:AB6," &
	"IO_AB7:AB7," &
	"IO_AB8:AB8," &
	"IO_AB11:AB11," &
	"IO_AB12:AB12," &
	"IO_AB13:AB13," &
	"IO_AB25:AB25," &
	"IO_AB26:AB26," &
	"IO_AB27:AB27," &
	"IO_AB28:AB28," &
	"IO_AB30:AB30," &
	"IO_AB31:AB31," &
	"IO_AB32:AB32," &
	"IO_AC3:AC3," &
	"IO_AC4:AC4," &
	"IO_AC5:AC5," &
	"IO_AC7:AC7," &
	"IO_AC9:AC9," &
	"IO_AC10:AC10," &
	"IO_AC12:AC12," &
	"IO_AC13:AC13," &
	"IO_AC22:AC22," &
	"IO_AC23:AC23," &
	"IO_AC25:AC25," &
	"IO_AC27:AC27," &
	"IO_AC28:AC28," &
	"IO_AC29:AC29," &
	"IO_AC30:AC30," &
	"IO_AC32:AC32," &
	"IO_AD4:AD4," &
	"IO_AD5:AD5," &
	"IO_AD6:AD6," &
	"IO_AD7:AD7," &
	"IO_AD9:AD9," &
	"IO_AD10:AD10," &
	"IO_AD11:AD11," &
	"IO_AD12:AD12," &
	"IO_AD14:AD14," &
	"IO_AD19:AD19," &
	"IO_AD20:AD20," &
	"IO_AD21:AD21," &
	"IO_AD22:AD22," &
	"IO_AD24:AD24," &
	"IO_AD25:AD25," &
	"IO_AD26:AD26," &
	"IO_AD27:AD27," &
	"IO_AD29:AD29," &
	"IO_AD30:AD30," &
	"IO_AD31:AD31," &
	"IO_AD32:AD32," &
	"IO_AE3:AE3," &
	"IO_AE4:AE4," &
	"IO_AE6:AE6," &
	"IO_AE7:AE7," &
	"IO_AE9:AE9," &
	"IO_AE11:AE11," &
	"IO_AE12:AE12," &
	"IO_AE13:AE13," &
	"IO_AE14:AE14," &
	"IO_AE16:AE16," &
	"IO_AE17:AE17," &
	"IO_AE18:AE18," &
	"IO_AE19:AE19," &
	"IO_AE21:AE21," &
	"IO_AE22:AE22," &
	"IO_AE23:AE23," &
	"IO_AE24:AE24," &
	"IO_AE26:AE26," &
	"IO_AE27:AE27," &
	"IO_AE28:AE28," &
	"IO_AE29:AE29," &
	"IO_AE31:AE31," &
	"IO_AE32:AE32," &
	"IO_AF3:AF3," &
	"IO_AF4:AF4," &
	"IO_AF5:AF5," &
	"IO_AF6:AF6," &
	"IO_AF8:AF8," &
	"IO_AF9:AF9," &
	"IO_AF10:AF10," &
	"IO_AF11:AF11," &
	"IO_AF13:AF13," &
	"IO_AF14:AF14," &
	"IO_AF15:AF15," &
	"IO_AF16:AF16," &
	"IO_AF18:AF18," &
	"IO_AF19:AF19," &
	"IO_AF20:AF20," &
	"IO_AF21:AF21," &
	"IO_AF23:AF23," &
	"IO_AF24:AF24," &
	"IO_AF25:AF25," &
	"IO_AF26:AF26," &
	"IO_AF28:AF28," &
	"IO_AF29:AF29," &
	"IO_AF30:AF30," &
	"IO_AF31:AF31," &
	"IO_AG3:AG3," &
	"IO_AG5:AG5," &
	"IO_AG6:AG6," &
	"IO_AG7:AG7," &
	"IO_AG8:AG8," &
	"IO_AG10:AG10," &
	"IO_AG11:AG11," &
	"IO_AG12:AG12," &
	"IO_AG13:AG13," &
	"IO_AG15:AG15," &
	"IO_AG16:AG16," &
	"IO_AG17:AG17," &
	"IO_AG18:AG18," &
	"IO_AG20:AG20," &
	"IO_AG21:AG21," &
	"IO_AG22:AG22," &
	"IO_AG23:AG23," &
	"IO_AG25:AG25," &
	"IO_AG26:AG26," &
	"IO_AG27:AG27," &
	"IO_AG28:AG28," &
	"IO_AG30:AG30," &
	"IO_AG31:AG31," &
	"IO_AG32:AG32," &
	"IO_AH3:AH3," &
	"IO_AH4:AH4," &
	"IO_AH5:AH5," &
	"IO_AH7:AH7," &
	"IO_AH8:AH8," &
	"IO_AH9:AH9," &
	"IO_AH10:AH10," &
	"IO_AH12:AH12," &
	"IO_AH13:AH13," &
	"IO_AH14:AH14," &
	"IO_AH15:AH15," &
	"IO_AH17:AH17," &
	"IO_AH18:AH18," &
	"IO_AH19:AH19," &
	"IO_AH20:AH20," &
	"IO_AH22:AH22," &
	"IO_AH23:AH23," &
	"IO_AH24:AH24," &
	"IO_AH25:AH25," &
	"IO_AH27:AH27," &
	"IO_AH28:AH28," &
	"IO_AH29:AH29," &
	"IO_AH30:AH30," &
	"IO_AH32:AH32," &
	"IO_AJ4:AJ4," &
	"IO_AJ5:AJ5," &
	"IO_AJ6:AJ6," &
	"IO_AJ7:AJ7," &
	"IO_AJ9:AJ9," &
	"IO_AJ10:AJ10," &
	"IO_AJ11:AJ11," &
	"IO_AJ12:AJ12," &
	"IO_AJ14:AJ14," &
	"IO_AJ15:AJ15," &
	"IO_AJ16:AJ16," &
	"IO_AJ17:AJ17," &
	"IO_AJ19:AJ19," &
	"IO_AJ20:AJ20," &
	"IO_AJ21:AJ21," &
	"IO_AJ22:AJ22," &
	"IO_AJ24:AJ24," &
	"IO_AJ25:AJ25," &
	"IO_AJ26:AJ26," &
	"IO_AJ27:AJ27," &
	"IO_AJ29:AJ29," &
	"IO_AJ30:AJ30," &
	"IO_AJ31:AJ31," &
	"IO_AJ32:AJ32," &
	"IO_AK3:AK3," &
	"IO_AK4:AK4," &
	"IO_AK6:AK6," &
	"IO_AK7:AK7," &
	"IO_AK8:AK8," &
	"IO_AK9:AK9," &
	"IO_AK11:AK11," &
	"IO_AK12:AK12," &
	"IO_AK13:AK13," &
	"IO_AK14:AK14," &
	"IO_AK16:AK16," &
	"IO_AK17:AK17," &
	"IO_AK18:AK18," &
	"IO_AK19:AK19," &
	"IO_AK21:AK21," &
	"IO_AK22:AK22," &
	"IO_AK23:AK23," &
	"IO_AK24:AK24," &
	"IO_AK26:AK26," &
	"IO_AK27:AK27," &
	"IO_AK28:AK28," &
	"IO_AK29:AK29," &
	"IO_AK31:AK31," &
	"IO_AK32:AK32," &
	"IO_AL3:AL3," &
	"IO_AL4:AL4," &
	"IO_AL5:AL5," &
	"IO_AL6:AL6," &
	"IO_AL8:AL8," &
	"IO_AL9:AL9," &
	"IO_AL10:AL10," &
	"IO_AL11:AL11," &
	"IO_AL13:AL13," &
	"IO_AL14:AL14," &
	"IO_AL18:AL18," &
	"IO_AL19:AL19," &
	"IO_AL20:AL20," &
	"IO_AL21:AL21," &
	"IO_AL23:AL23," &
	"IO_AL24:AL24," &
	"IO_AL25:AL25," &
	"IO_AL26:AL26," &
	"IO_AL28:AL28," &
	"IO_AL29:AL29," &
	"IO_AL30:AL30," &
	"IO_AL31:AL31," &
	"IO_AM3:AM3," &
	"IO_AM5:AM5," &
	"IO_AM6:AM6," &
	"IO_AM7:AM7," &
	"IO_AM8:AM8," &
	"IO_AM10:AM10," &
	"IO_AM11:AM11," &
	"IO_AM12:AM12," &
	"IO_AM13:AM13," &
	"IO_AM20:AM20," &
	"IO_AM21:AM21," &
	"IO_AM22:AM22," &
	"IO_AM23:AM23," &
	"IO_AM25:AM25," &
	"IO_AM26:AM26," &
	"IO_AM27:AM27," &
	"IO_AM28:AM28," &
	"IO_AM30:AM30," &
	"IO_AM31:AM31," &
	"IO_AM32:AM32";


attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);

attribute COMPLIANCE_PATTERNS of XQR4VFX60_CF1143 : entity is
        "(PROG_B, PWRDWN_B) (11)";

attribute INSTRUCTION_LENGTH of XQR4VFX60_CF1143 : entity is 14;

attribute INSTRUCTION_OPCODE of XQR4VFX60_CF1143 : entity is
        "EXTEST    (11111111000000)," &
        "SAMPLE    (11111111000001)," &
        "USER1     (11111111000010)," & -- Not available until after configuration
        "USER2     (11111111000011)," & -- Not available until after configuration
        "USER3     (11111111100010)," & -- Not available until after configuration
        "USER4     (11111111100011)," & -- Not available until after configuration
        "CFG_OUT   (11111111000100)," & -- Not available during configuration with another mode.
        "CFG_IN    (11111111000101)," & -- Not available during configuration with another mode.
        "INTEST    (11111111000111)," &
        "USERCODE  (11111111001000)," &
        "IDCODE    (11111111001001)," &
        "HIGHZ     (11111111001010)," &
        "JPROGRAM  (11111111001011)," & -- Not available during configuration with another mode.
        "JSTART    (11111111001100)," & -- Not available during configuration with another mode.
        "JSHUTDOWN (11111111001101)," & -- Not available during configuration with another mode.
        "MONITOR1  (11111111001110)," &
        "MONITOR2  (11111111001111)," &
        "BYPASS    (11111111111111)," &
	"ISC_ENABLE           (11111111010000)," &
	"ISC_PROGRAM          (11111111010001)," &
	"ISC_PROGRAM_KEY (11111111010010)," &
	"ISC_NOOP             (11111111010100)," &
	"ISC_READ             (11111111010101)," &
	"ISC_DISABLE          (11111111010110)," &
	"PPC_BYPASS           (11111111100000)," &
	"PPC_DEBUG_1          (01010101100000)," &
	"PPC_DEBUG_2          (01110111100000)," &
	"PPC_DEBUG_3          (10011001100000)," &
	"PPC_DEBUG_4          (10101010100000)," &
	"PPC_DEBUG_5          (10111011100000)," &
	"PPC_DEBUG_6          (11001100100000)," &
	"PPC_DEBUG_7          (11011101100000)," &
	"PPC_DEBUG_8          (11101110100000)";

attribute INSTRUCTION_CAPTURE of XQR4VFX60_CF1143 : entity is
-- Bit 5 is 1 when DONE is released (part of startup sequence)
-- Bit 4 is 1 if house-cleaning is complete
-- Bit 3 is ISC_Enabled
-- Bit 2 is ISC_Done
        "XXXXXXXXXXXX01";

attribute INSTRUCTION_PRIVATE of XQR4VFX60_CF1143 : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
        "USER1," &
        "USER2," &
        "USER3," &
        "USER4," &
        "CFG_OUT," &
        "CFG_IN," &
        "JPROGRAM," &
        "JSTART," &
        "JSHUTDOWN," &
        "MONITOR1," &
        "MONITOR2," &
	"ISC_ENABLE," &
	"ISC_PROGRAM," &
	"ISC_PROGRAM_KEY," &
	"ISC_NOOP," &
	"ISC_READ," &
	"ISC_DISABLE," &
	"PPC_BYPASS," &
	"PPC_DEBUG_1," &
	"PPC_DEBUG_2," &
	"PPC_DEBUG_3," &
	"PPC_DEBUG_4," &
	"PPC_DEBUG_5," &
	"PPC_DEBUG_6," &
	"PPC_DEBUG_7," &
	"PPC_DEBUG_8";

attribute IDCODE_REGISTER of XQR4VFX60_CF1143 : entity is
	"XXXX" &	-- version
	"0001111" &	-- family
	"010110100" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1


attribute USERCODE_REGISTER of XQR4VFX60_CF1143 : entity is
        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

attribute REGISTER_ACCESS of XQR4VFX60_CF1143 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
--	"<reg_name>[<length>] (USER3)," &
--	"<reg_name>[<length>] (USER4)," &
        "BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,INTEST,EXTEST)";

attribute BOUNDARY_LENGTH of XQR4VFX60_CF1143 : entity is 1751;

attribute BOUNDARY_REGISTER of XQR4VFX60_CF1143 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_1, *, internal, X)," &
	"   1 (BC_2, *, controlr, 1)," &
	"   2 (BC_2, IO_AL13, output3, X, 1, 1, PULL0)," & --  PAD576
	"   3 (BC_2, IO_AL13, input, X)," & --  PAD576
	"   4 (BC_2, *, controlr, 1)," &
	"   5 (BC_2, IO_AK13, output3, X, 4, 1, PULL0)," & --  PAD575
	"   6 (BC_2, IO_AK13, input, X)," & --  PAD575
	"   7 (BC_2, *, controlr, 1)," &
	"   8 (BC_2, IO_AL14, output3, X, 7, 1, PULL0)," & --  PAD574
	"   9 (BC_2, IO_AL14, input, X)," & --  PAD574
	"  10 (BC_2, *, controlr, 1)," &
	"  11 (BC_2, IO_AK14, output3, X, 10, 1, PULL0)," & --  PAD573
	"  12 (BC_2, IO_AK14, input, X)," & --  PAD573
	"  13 (BC_2, *, controlr, 1)," &
	"  14 (BC_2, IO_AM12, output3, X, 13, 1, PULL0)," & --  PAD572
	"  15 (BC_2, IO_AM12, input, X)," & --  PAD572
	"  16 (BC_2, *, controlr, 1)," &
	"  17 (BC_2, IO_AM13, output3, X, 16, 1, PULL0)," & --  PAD571
	"  18 (BC_2, IO_AM13, input, X)," & --  PAD571
	"  19 (BC_2, *, controlr, 1)," &
	"  20 (BC_2, IO_AH12, output3, X, 19, 1, PULL0)," & --  PAD570
	"  21 (BC_2, IO_AH12, input, X)," & --  PAD570
	"  22 (BC_2, *, controlr, 1)," &
	"  23 (BC_2, IO_AG12, output3, X, 22, 1, PULL0)," & --  PAD569
	"  24 (BC_2, IO_AG12, input, X)," & --  PAD569
	"  25 (BC_2, *, controlr, 1)," &
	"  26 (BC_2, IO_AE9, output3, X, 25, 1, PULL0)," & --  PAD568
	"  27 (BC_2, IO_AE9, input, X)," & --  PAD568
	"  28 (BC_2, *, controlr, 1)," &
	"  29 (BC_2, IO_AF9, output3, X, 28, 1, PULL0)," & --  PAD567
	"  30 (BC_2, IO_AF9, input, X)," & --  PAD567
	"  31 (BC_2, *, controlr, 1)," &
	"  32 (BC_2, IO_AG11, output3, X, 31, 1, PULL0)," & --  PAD566
	"  33 (BC_2, IO_AG11, input, X)," & --  PAD566
	"  34 (BC_2, *, controlr, 1)," &
	"  35 (BC_2, IO_AF11, output3, X, 34, 1, PULL0)," & --  PAD565
	"  36 (BC_2, IO_AF11, input, X)," & --  PAD565
	"  37 (BC_2, *, controlr, 1)," &
	"  38 (BC_2, IO_AK12, output3, X, 37, 1, PULL0)," & --  PAD564
	"  39 (BC_2, IO_AK12, input, X)," & --  PAD564
	"  40 (BC_2, *, controlr, 1)," &
	"  41 (BC_2, IO_AJ12, output3, X, 40, 1, PULL0)," & --  PAD563
	"  42 (BC_2, IO_AJ12, input, X)," & --  PAD563
	"  43 (BC_2, *, controlr, 1)," &
	"  44 (BC_2, IO_AH13, output3, X, 43, 1, PULL0)," & --  PAD562
	"  45 (BC_2, IO_AH13, input, X)," & --  PAD562
	"  46 (BC_2, *, controlr, 1)," &
	"  47 (BC_2, IO_AG13, output3, X, 46, 1, PULL0)," & --  PAD561
	"  48 (BC_2, IO_AG13, input, X)," & --  PAD561
	"  49 (BC_2, *, controlr, 1)," &
	"  50 (BC_2, IO_AK11, output3, X, 49, 1, PULL0)," & --  PAD560
	"  51 (BC_2, IO_AK11, input, X)," & --  PAD560
	"  52 (BC_2, *, controlr, 1)," &
	"  53 (BC_2, IO_AJ11, output3, X, 52, 1, PULL0)," & --  PAD559
	"  54 (BC_2, IO_AJ11, input, X)," & --  PAD559
	"  55 (BC_2, *, controlr, 1)," &
	"  56 (BC_2, IO_AJ14, output3, X, 55, 1, PULL0)," & --  PAD558
	"  57 (BC_2, IO_AJ14, input, X)," & --  PAD558
	"  58 (BC_2, *, controlr, 1)," &
	"  59 (BC_2, IO_AH14, output3, X, 58, 1, PULL0)," & --  PAD557
	"  60 (BC_2, IO_AH14, input, X)," & --  PAD557
	"  61 (BC_2, *, controlr, 1)," &
	"  62 (BC_2, IO_AM11, output3, X, 61, 1, PULL0)," & --  PAD556
	"  63 (BC_2, IO_AM11, input, X)," & --  PAD556
	"  64 (BC_2, *, controlr, 1)," &
	"  65 (BC_2, IO_AL11, output3, X, 64, 1, PULL0)," & --  PAD555
	"  66 (BC_2, IO_AL11, input, X)," & --  PAD555
	"  67 (BC_2, *, controlr, 1)," &
	"  68 (BC_2, IO_AJ15, output3, X, 67, 1, PULL0)," & --  PAD554
	"  69 (BC_2, IO_AJ15, input, X)," & --  PAD554
	"  70 (BC_2, *, controlr, 1)," &
	"  71 (BC_2, IO_AH15, output3, X, 70, 1, PULL0)," & --  PAD553
	"  72 (BC_2, IO_AH15, input, X)," & --  PAD553
	"  73 (BC_2, *, controlr, 1)," &
	"  74 (BC_2, IO_AG10, output3, X, 73, 1, PULL0)," & --  PAD552
	"  75 (BC_2, IO_AG10, input, X)," & --  PAD552
	"  76 (BC_2, *, controlr, 1)," &
	"  77 (BC_2, IO_AF10, output3, X, 76, 1, PULL0)," & --  PAD551
	"  78 (BC_2, IO_AF10, input, X)," & --  PAD551
	"  79 (BC_2, *, controlr, 1)," &
	"  80 (BC_2, IO_AK16, output3, X, 79, 1, PULL0)," & --  PAD550
	"  81 (BC_2, IO_AK16, input, X)," & --  PAD550
	"  82 (BC_2, *, controlr, 1)," &
	"  83 (BC_2, IO_AJ16, output3, X, 82, 1, PULL0)," & --  PAD549
	"  84 (BC_2, IO_AJ16, input, X)," & --  PAD549
	"  85 (BC_2, *, controlr, 1)," &
	"  86 (BC_2, IO_AJ10, output3, X, 85, 1, PULL0)," & --  PAD548
	"  87 (BC_2, IO_AJ10, input, X)," & --  PAD548
	"  88 (BC_2, *, controlr, 1)," &
	"  89 (BC_2, IO_AH10, output3, X, 88, 1, PULL0)," & --  PAD547
	"  90 (BC_2, IO_AH10, input, X)," & --  PAD547
	"  91 (BC_2, *, controlr, 1)," &
	"  92 (BC_2, IO_AG15, output3, X, 91, 1, PULL0)," & --  PAD546
	"  93 (BC_2, IO_AG15, input, X)," & --  PAD546
	"  94 (BC_2, *, controlr, 1)," &
	"  95 (BC_2, IO_AF15, output3, X, 94, 1, PULL0)," & --  PAD545
	"  96 (BC_2, IO_AF15, input, X)," & --  PAD545
	"  97 (BC_2, *, controlr, 1)," &
	"  98 (BC_2, IO_AM10, output3, X, 97, 1, PULL0)," & --  PAD544
	"  99 (BC_2, IO_AM10, input, X)," & --  PAD544
	" 100 (BC_2, *, controlr, 1)," &
	" 101 (BC_2, IO_AL10, output3, X, 100, 1, PULL0)," & --  PAD543
	" 102 (BC_2, IO_AL10, input, X)," & --  PAD543
	" 103 (BC_2, *, controlr, 1)," &
	" 104 (BC_2, IO_AF13, output3, X, 103, 1, PULL0)," & --  PAD542
	" 105 (BC_2, IO_AF13, input, X)," & --  PAD542
	" 106 (BC_2, *, controlr, 1)," &
	" 107 (BC_2, IO_AE13, output3, X, 106, 1, PULL0)," & --  PAD541
	" 108 (BC_2, IO_AE13, input, X)," & --  PAD541
	" 109 (BC_2, *, controlr, 1)," &
	" 110 (BC_2, IO_AH9, output3, X, 109, 1, PULL0)," & --  PAD540
	" 111 (BC_2, IO_AH9, input, X)," & --  PAD540
	" 112 (BC_2, *, controlr, 1)," &
	" 113 (BC_2, IO_AJ9, output3, X, 112, 1, PULL0)," & --  PAD539
	" 114 (BC_2, IO_AJ9, input, X)," & --  PAD539
	" 115 (BC_2, *, controlr, 1)," &
	" 116 (BC_2, IO_AF14, output3, X, 115, 1, PULL0)," & --  PAD538
	" 117 (BC_2, IO_AF14, input, X)," & --  PAD538
	" 118 (BC_2, *, controlr, 1)," &
	" 119 (BC_2, IO_AE14, output3, X, 118, 1, PULL0)," & --  PAD537
	" 120 (BC_2, IO_AE14, input, X)," & --  PAD537
	" 121 (BC_2, *, controlr, 1)," &
	" 122 (BC_2, IO_AD9, output3, X, 121, 1, PULL0)," & --  PAD536
	" 123 (BC_2, IO_AD9, input, X)," & --  PAD536
	" 124 (BC_2, *, controlr, 1)," &
	" 125 (BC_2, IO_AD10, output3, X, 124, 1, PULL0)," & --  PAD535
	" 126 (BC_2, IO_AD10, input, X)," & --  PAD535
	" 127 (BC_2, *, controlr, 1)," &
	" 128 (BC_2, IO_AE11, output3, X, 127, 1, PULL0)," & --  PAD534
	" 129 (BC_2, IO_AE11, input, X)," & --  PAD534
	" 130 (BC_2, *, controlr, 1)," &
	" 131 (BC_2, IO_AD11, output3, X, 130, 1, PULL0)," & --  PAD533
	" 132 (BC_2, IO_AD11, input, X)," & --  PAD533
	" 133 (BC_2, *, controlr, 1)," &
	" 134 (BC_2, IO_AK9, output3, X, 133, 1, PULL0)," & --  PAD532
	" 135 (BC_2, IO_AK9, input, X)," & --  PAD532
	" 136 (BC_2, *, controlr, 1)," &
	" 137 (BC_2, IO_AL9, output3, X, 136, 1, PULL0)," & --  PAD531
	" 138 (BC_2, IO_AL9, input, X)," & --  PAD531
	" 139 (BC_2, *, controlr, 1)," &
	" 140 (BC_2, IO_AE12, output3, X, 139, 1, PULL0)," & --  PAD530
	" 141 (BC_2, IO_AE12, input, X)," & --  PAD530
	" 142 (BC_2, *, controlr, 1)," &
	" 143 (BC_2, IO_AD12, output3, X, 142, 1, PULL0)," & --  PAD529
	" 144 (BC_2, IO_AD12, input, X)," & --  PAD529
	" 145 (BC_2, *, controlr, 1)," &
	" 146 (BC_2, IO_AK8, output3, X, 145, 1, PULL0)," & --  PAD528
	" 147 (BC_2, IO_AK8, input, X)," & --  PAD528
	" 148 (BC_2, *, controlr, 1)," &
	" 149 (BC_2, IO_AL8, output3, X, 148, 1, PULL0)," & --  PAD527
	" 150 (BC_2, IO_AL8, input, X)," & --  PAD527
	" 151 (BC_2, *, controlr, 1)," &
	" 152 (BC_2, IO_AC13, output3, X, 151, 1, PULL0)," & --  PAD526
	" 153 (BC_2, IO_AC13, input, X)," & --  PAD526
	" 154 (BC_2, *, controlr, 1)," &
	" 155 (BC_2, IO_AD14, output3, X, 154, 1, PULL0)," & --  PAD525
	" 156 (BC_2, IO_AD14, input, X)," & --  PAD525
	" 157 (BC_2, *, controlr, 1)," &
	" 158 (BC_2, IO_AM7, output3, X, 157, 1, PULL0)," & --  PAD524
	" 159 (BC_2, IO_AM7, input, X)," & --  PAD524
	" 160 (BC_2, *, controlr, 1)," &
	" 161 (BC_2, IO_AM8, output3, X, 160, 1, PULL0)," & --  PAD523
	" 162 (BC_2, IO_AM8, input, X)," & --  PAD523
	" 163 (BC_2, *, controlr, 1)," &
	" 164 (BC_2, IO_AB12, output3, X, 163, 1, PULL0)," & --  PAD522
	" 165 (BC_2, IO_AB12, input, X)," & --  PAD522
	" 166 (BC_2, *, controlr, 1)," &
	" 167 (BC_2, IO_AC12, output3, X, 166, 1, PULL0)," & --  PAD521
	" 168 (BC_2, IO_AC12, input, X)," & --  PAD521
	" 169 (BC_2, *, controlr, 1)," &
	" 170 (BC_2, IO_AH7, output3, X, 169, 1, PULL0)," & --  PAD520
	" 171 (BC_2, IO_AH7, input, X)," & --  PAD520
	" 172 (BC_2, *, controlr, 1)," &
	" 173 (BC_2, IO_AH8, output3, X, 172, 1, PULL0)," & --  PAD519
	" 174 (BC_2, IO_AH8, input, X)," & --  PAD519
	" 175 (BC_2, *, controlr, 1)," &
	" 176 (BC_2, IO_AA13, output3, X, 175, 1, PULL0)," & --  PAD518
	" 177 (BC_2, IO_AA13, input, X)," & --  PAD518
	" 178 (BC_2, *, controlr, 1)," &
	" 179 (BC_2, IO_AB13, output3, X, 178, 1, PULL0)," & --  PAD517
	" 180 (BC_2, IO_AB13, input, X)," & --  PAD517
	" 181 (BC_2, *, controlr, 1)," &
	" 182 (BC_2, IO_AJ7, output3, X, 181, 1, PULL0)," & --  PAD516
	" 183 (BC_2, IO_AJ7, input, X)," & --  PAD516
	" 184 (BC_2, *, controlr, 1)," &
	" 185 (BC_2, IO_AK7, output3, X, 184, 1, PULL0)," & --  PAD515
	" 186 (BC_2, IO_AK7, input, X)," & --  PAD515
	" 187 (BC_2, *, controlr, 1)," &
	" 188 (BC_2, IO_AA11, output3, X, 187, 1, PULL0)," & --  PAD514
	" 189 (BC_2, IO_AA11, input, X)," & --  PAD514
	" 190 (BC_2, *, controlr, 1)," &
	" 191 (BC_2, IO_AB11, output3, X, 190, 1, PULL0)," & --  PAD513
	" 192 (BC_2, IO_AB11, input, X)," & --  PAD513
	" 193 (BC_2, *, controlr, 1)," &
	" 194 (BC_2, IO_AH5, output3, X, 193, 1, PULL0)," & --  PAD512
	" 195 (BC_2, IO_AH5, input, X)," & --  PAD512
	" 196 (BC_2, *, controlr, 1)," &
	" 197 (BC_2, IO_AJ5, output3, X, 196, 1, PULL0)," & --  PAD511
	" 198 (BC_2, IO_AJ5, input, X)," & --  PAD511
	" 199 (BC_2, *, controlr, 1)," &
	" 200 (BC_2, IO_AC9, output3, X, 199, 1, PULL0)," & --  PAD510
	" 201 (BC_2, IO_AC9, input, X)," & --  PAD510
	" 202 (BC_2, *, controlr, 1)," &
	" 203 (BC_2, IO_AC10, output3, X, 202, 1, PULL0)," & --  PAD509
	" 204 (BC_2, IO_AC10, input, X)," & --  PAD509
	" 205 (BC_2, *, controlr, 1)," &
	" 206 (BC_2, IO_AG5, output3, X, 205, 1, PULL0)," & --  PAD508
	" 207 (BC_2, IO_AG5, input, X)," & --  PAD508
	" 208 (BC_2, *, controlr, 1)," &
	" 209 (BC_2, IO_AG6, output3, X, 208, 1, PULL0)," & --  PAD507
	" 210 (BC_2, IO_AG6, input, X)," & --  PAD507
	" 211 (BC_2, *, controlr, 1)," &
	" 212 (BC_2, IO_AL6, output3, X, 211, 1, PULL0)," & --  PAD506
	" 213 (BC_2, IO_AL6, input, X)," & --  PAD506
	" 214 (BC_2, *, controlr, 1)," &
	" 215 (BC_2, IO_AM6, output3, X, 214, 1, PULL0)," & --  PAD505
	" 216 (BC_2, IO_AM6, input, X)," & --  PAD505
	" 217 (BC_2, *, controlr, 1)," &
	" 218 (BC_2, IO_AD6, output3, X, 217, 1, PULL0)," & --  PAD504
	" 219 (BC_2, IO_AD6, input, X)," & --  PAD504
	" 220 (BC_2, *, controlr, 1)," &
	" 221 (BC_2, IO_AD7, output3, X, 220, 1, PULL0)," & --  PAD503
	" 222 (BC_2, IO_AD7, input, X)," & --  PAD503
	" 223 (BC_2, *, controlr, 1)," &
	" 224 (BC_2, IO_AG7, output3, X, 223, 1, PULL0)," & --  PAD502
	" 225 (BC_2, IO_AG7, input, X)," & --  PAD502
	" 226 (BC_2, *, controlr, 1)," &
	" 227 (BC_2, IO_AG8, output3, X, 226, 1, PULL0)," & --  PAD501
	" 228 (BC_2, IO_AG8, input, X)," & --  PAD501
	" 229 (BC_2, *, controlr, 1)," &
	" 230 (BC_2, IO_AL3, output3, X, 229, 1, PULL0)," & --  PAD500
	" 231 (BC_2, IO_AL3, input, X)," & --  PAD500
	" 232 (BC_2, *, controlr, 1)," &
	" 233 (BC_2, IO_AM3, output3, X, 232, 1, PULL0)," & --  PAD499
	" 234 (BC_2, IO_AM3, input, X)," & --  PAD499
	" 235 (BC_2, *, controlr, 1)," &
	" 236 (BC_2, IO_AJ6, output3, X, 235, 1, PULL0)," & --  PAD498
	" 237 (BC_2, IO_AJ6, input, X)," & --  PAD498
	" 238 (BC_2, *, controlr, 1)," &
	" 239 (BC_2, IO_AK6, output3, X, 238, 1, PULL0)," & --  PAD497
	" 240 (BC_2, IO_AK6, input, X)," & --  PAD497
	" 241 (BC_2, *, controlr, 1)," &
	" 242 (BC_2, IO_AH3, output3, X, 241, 1, PULL0)," & --  PAD496
	" 243 (BC_2, IO_AH3, input, X)," & --  PAD496
	" 244 (BC_2, *, controlr, 1)," &
	" 245 (BC_2, IO_AH4, output3, X, 244, 1, PULL0)," & --  PAD495
	" 246 (BC_2, IO_AH4, input, X)," & --  PAD495
	" 247 (BC_2, *, controlr, 1)," &
	" 248 (BC_2, IO_AE7, output3, X, 247, 1, PULL0)," & --  PAD494
	" 249 (BC_2, IO_AE7, input, X)," & --  PAD494
	" 250 (BC_2, *, controlr, 1)," &
	" 251 (BC_2, IO_AF8, output3, X, 250, 1, PULL0)," & --  PAD493
	" 252 (BC_2, IO_AF8, input, X)," & --  PAD493
	" 253 (BC_2, *, controlr, 1)," &
	" 254 (BC_2, IO_AF4, output3, X, 253, 1, PULL0)," & --  PAD492
	" 255 (BC_2, IO_AF4, input, X)," & --  PAD492
	" 256 (BC_2, *, controlr, 1)," &
	" 257 (BC_2, IO_AF5, output3, X, 256, 1, PULL0)," & --  PAD491
	" 258 (BC_2, IO_AF5, input, X)," & --  PAD491
	" 259 (BC_2, *, controlr, 1)," &
	" 260 (BC_2, IO_AK4, output3, X, 259, 1, PULL0)," & --  PAD490
	" 261 (BC_2, IO_AK4, input, X)," & --  PAD490
	" 262 (BC_2, *, controlr, 1)," &
	" 263 (BC_2, IO_AL4, output3, X, 262, 1, PULL0)," & --  PAD489
	" 264 (BC_2, IO_AL4, input, X)," & --  PAD489
	" 265 (BC_2, *, controlr, 1)," &
	" 266 (BC_2, IO_AB8, output3, X, 265, 1, PULL0)," & --  PAD488
	" 267 (BC_2, IO_AB8, input, X)," & --  PAD488
	" 268 (BC_2, *, controlr, 1)," &
	" 269 (BC_2, IO_AC7, output3, X, 268, 1, PULL0)," & --  PAD487
	" 270 (BC_2, IO_AC7, input, X)," & --  PAD487
	" 271 (BC_2, *, controlr, 1)," &
	" 272 (BC_2, IO_AL5, output3, X, 271, 1, PULL0)," & --  PAD486
	" 273 (BC_2, IO_AL5, input, X)," & --  PAD486
	" 274 (BC_2, *, controlr, 1)," &
	" 275 (BC_2, IO_AM5, output3, X, 274, 1, PULL0)," & --  PAD485
	" 276 (BC_2, IO_AM5, input, X)," & --  PAD485
	" 277 (BC_2, *, controlr, 1)," &
	" 278 (BC_2, IO_AE3, output3, X, 277, 1, PULL0)," & --  PAD484
	" 279 (BC_2, IO_AE3, input, X)," & --  PAD484
	" 280 (BC_2, *, controlr, 1)," &
	" 281 (BC_2, IO_AE4, output3, X, 280, 1, PULL0)," & --  PAD483
	" 282 (BC_2, IO_AE4, input, X)," & --  PAD483
	" 283 (BC_2, *, controlr, 1)," &
	" 284 (BC_2, IO_AK3, output3, X, 283, 1, PULL0)," & --  PAD482
	" 285 (BC_2, IO_AK3, input, X)," & --  PAD482
	" 286 (BC_2, *, controlr, 1)," &
	" 287 (BC_2, IO_AJ4, output3, X, 286, 1, PULL0)," & --  PAD481
	" 288 (BC_2, IO_AJ4, input, X)," & --  PAD481
	" 289 (BC_2, *, controlr, 1)," &
	" 290 (BC_2, IO_AD4, output3, X, 289, 1, PULL0)," & --  PAD480
	" 291 (BC_2, IO_AD4, input, X)," & --  PAD480
	" 292 (BC_2, *, controlr, 1)," &
	" 293 (BC_2, IO_AD5, output3, X, 292, 1, PULL0)," & --  PAD479
	" 294 (BC_2, IO_AD5, input, X)," & --  PAD479
	" 295 (BC_2, *, controlr, 1)," &
	" 296 (BC_2, IO_AE6, output3, X, 295, 1, PULL0)," & --  PAD478
	" 297 (BC_2, IO_AE6, input, X)," & --  PAD478
	" 298 (BC_2, *, controlr, 1)," &
	" 299 (BC_2, IO_AF6, output3, X, 298, 1, PULL0)," & --  PAD477
	" 300 (BC_2, IO_AF6, input, X)," & --  PAD477
	" 301 (BC_2, *, controlr, 1)," &
	" 302 (BC_2, IO_AC3, output3, X, 301, 1, PULL0)," & --  PAD476
	" 303 (BC_2, IO_AC3, input, X)," & --  PAD476
	" 304 (BC_2, *, controlr, 1)," &
	" 305 (BC_2, IO_AC4, output3, X, 304, 1, PULL0)," & --  PAD475
	" 306 (BC_2, IO_AC4, input, X)," & --  PAD475
	" 307 (BC_2, *, controlr, 1)," &
	" 308 (BC_2, IO_AA8, output3, X, 307, 1, PULL0)," & --  PAD474
	" 309 (BC_2, IO_AA8, input, X)," & --  PAD474
	" 310 (BC_2, *, controlr, 1)," &
	" 311 (BC_2, IO_AA9, output3, X, 310, 1, PULL0)," & --  PAD473
	" 312 (BC_2, IO_AA9, input, X)," & --  PAD473
	" 313 (BC_2, *, controlr, 1)," &
	" 314 (BC_2, IO_Y9, output3, X, 313, 1, PULL0)," & --  PAD472
	" 315 (BC_2, IO_Y9, input, X)," & --  PAD472
	" 316 (BC_2, *, controlr, 1)," &
	" 317 (BC_2, IO_W9, output3, X, 316, 1, PULL0)," & --  PAD471
	" 318 (BC_2, IO_W9, input, X)," & --  PAD471
	" 319 (BC_2, *, controlr, 1)," &
	" 320 (BC_2, IO_AF3, output3, X, 319, 1, PULL0)," & --  PAD470
	" 321 (BC_2, IO_AF3, input, X)," & --  PAD470
	" 322 (BC_2, *, controlr, 1)," &
	" 323 (BC_2, IO_AG3, output3, X, 322, 1, PULL0)," & --  PAD469
	" 324 (BC_2, IO_AG3, input, X)," & --  PAD469
	" 325 (BC_2, *, controlr, 1)," &
	" 326 (BC_2, IO_Y6, output3, X, 325, 1, PULL0)," & --  PAD468
	" 327 (BC_2, IO_Y6, input, X)," & --  PAD468
	" 328 (BC_2, *, controlr, 1)," &
	" 329 (BC_2, IO_AA6, output3, X, 328, 1, PULL0)," & --  PAD467
	" 330 (BC_2, IO_AA6, input, X)," & --  PAD467
	" 331 (BC_2, *, controlr, 1)," &
	" 332 (BC_2, IO_AB6, output3, X, 331, 1, PULL0)," & --  PAD466
	" 333 (BC_2, IO_AB6, input, X)," & --  PAD466
	" 334 (BC_2, *, controlr, 1)," &
	" 335 (BC_2, IO_AB7, output3, X, 334, 1, PULL0)," & --  PAD465
	" 336 (BC_2, IO_AB7, input, X)," & --  PAD465
	" 337 (BC_2, *, controlr, 1)," &
	" 338 (BC_2, IO_AA3, output3, X, 337, 1, PULL0)," & --  PAD464
	" 339 (BC_2, IO_AA3, input, X)," & --  PAD464
	" 340 (BC_2, *, controlr, 1)," &
	" 341 (BC_2, IO_AB3, output3, X, 340, 1, PULL0)," & --  PAD463
	" 342 (BC_2, IO_AB3, input, X)," & --  PAD463
	" 343 (BC_2, *, controlr, 1)," &
	" 344 (BC_2, IO_AB5, output3, X, 343, 1, PULL0)," & --  PAD462
	" 345 (BC_2, IO_AB5, input, X)," & --  PAD462
	" 346 (BC_2, *, controlr, 1)," &
	" 347 (BC_2, IO_AC5, output3, X, 346, 1, PULL0)," & --  PAD461
	" 348 (BC_2, IO_AC5, input, X)," & --  PAD461
	" 349 (BC_2, *, controlr, 1)," &
	" 350 (BC_2, IO_Y3, output3, X, 349, 1, PULL0)," & --  PAD460
	" 351 (BC_2, IO_Y3, input, X)," & --  PAD460
	" 352 (BC_2, *, controlr, 1)," &
	" 353 (BC_2, IO_Y4, output3, X, 352, 1, PULL0)," & --  PAD459
	" 354 (BC_2, IO_Y4, input, X)," & --  PAD459
	" 355 (BC_2, *, controlr, 1)," &
	" 356 (BC_2, IO_Y7, output3, X, 355, 1, PULL0)," & --  PAD458
	" 357 (BC_2, IO_Y7, input, X)," & --  PAD458
	" 358 (BC_2, *, controlr, 1)," &
	" 359 (BC_2, IO_Y8, output3, X, 358, 1, PULL0)," & --  PAD457
	" 360 (BC_2, IO_Y8, input, X)," & --  PAD457
	" 361 (BC_2, *, controlr, 1)," &
	" 362 (BC_2, IO_W6, output3, X, 361, 1, PULL0)," & --  PAD456
	" 363 (BC_2, IO_W6, input, X)," & --  PAD456
	" 364 (BC_2, *, controlr, 1)," &
	" 365 (BC_2, IO_W7, output3, X, 364, 1, PULL0)," & --  PAD455
	" 366 (BC_2, IO_W7, input, X)," & --  PAD455
	" 367 (BC_2, *, controlr, 1)," &
	" 368 (BC_2, IO_AA4, output3, X, 367, 1, PULL0)," & --  PAD454
	" 369 (BC_2, IO_AA4, input, X)," & --  PAD454
	" 370 (BC_2, *, controlr, 1)," &
	" 371 (BC_2, IO_AA5, output3, X, 370, 1, PULL0)," & --  PAD453
	" 372 (BC_2, IO_AA5, input, X)," & --  PAD453
	" 373 (BC_2, *, controlr, 1)," &
	" 374 (BC_2, IO_V7, output3, X, 373, 1, PULL0)," & --  PAD452
	" 375 (BC_2, IO_V7, input, X)," & --  PAD452
	" 376 (BC_2, *, controlr, 1)," &
	" 377 (BC_2, IO_V8, output3, X, 376, 1, PULL0)," & --  PAD451
	" 378 (BC_2, IO_V8, input, X)," & --  PAD451
	" 379 (BC_2, *, controlr, 1)," &
	" 380 (BC_2, IO_W4, output3, X, 379, 1, PULL0)," & --  PAD450
	" 381 (BC_2, IO_W4, input, X)," & --  PAD450
	" 382 (BC_2, *, controlr, 1)," &
	" 383 (BC_2, IO_W5, output3, X, 382, 1, PULL0)," & --  PAD449
	" 384 (BC_2, IO_W5, input, X)," & --  PAD449
	" 385 (BC_2, *, controlr, 1)," &
	" 386 (BC_2, IO_U6, output3, X, 385, 1, PULL0)," & --  PAD448
	" 387 (BC_2, IO_U6, input, X)," & --  PAD448
	" 388 (BC_2, *, controlr, 1)," &
	" 389 (BC_2, IO_U7, output3, X, 388, 1, PULL0)," & --  PAD447
	" 390 (BC_2, IO_U7, input, X)," & --  PAD447
	" 391 (BC_2, *, controlr, 1)," &
	" 392 (BC_2, IO_T10, output3, X, 391, 1, PULL0)," & --  PAD446
	" 393 (BC_2, IO_T10, input, X)," & --  PAD446
	" 394 (BC_2, *, controlr, 1)," &
	" 395 (BC_2, IO_T11, output3, X, 394, 1, PULL0)," & --  PAD445
	" 396 (BC_2, IO_T11, input, X)," & --  PAD445
	" 397 (BC_2, *, controlr, 1)," &
	" 398 (BC_2, IO_V3, output3, X, 397, 1, PULL0)," & --  PAD444
	" 399 (BC_2, IO_V3, input, X)," & --  PAD444
	" 400 (BC_2, *, controlr, 1)," &
	" 401 (BC_2, IO_V4, output3, X, 400, 1, PULL0)," & --  PAD443
	" 402 (BC_2, IO_V4, input, X)," & --  PAD443
	" 403 (BC_2, *, controlr, 1)," &
	" 404 (BC_2, IO_R9, output3, X, 403, 1, PULL0)," & --  PAD442
	" 405 (BC_2, IO_R9, input, X)," & --  PAD442
	" 406 (BC_2, *, controlr, 1)," &
	" 407 (BC_2, IO_T9, output3, X, 406, 1, PULL0)," & --  PAD441
	" 408 (BC_2, IO_T9, input, X)," & --  PAD441
	" 409 (BC_2, *, controlr, 1)," &
	" 410 (BC_2, IO_R7, output3, X, 409, 1, PULL0)," & --  PAD440
	" 411 (BC_2, IO_R7, input, X)," & --  PAD440
	" 412 (BC_2, *, controlr, 1)," &
	" 413 (BC_2, IO_R8, output3, X, 412, 1, PULL0)," & --  PAD439
	" 414 (BC_2, IO_R8, input, X)," & --  PAD439
	" 415 (BC_2, *, controlr, 1)," &
	" 416 (BC_2, IO_T8, output3, X, 415, 1, PULL0)," & --  PAD438
	" 417 (BC_2, IO_T8, input, X)," & --  PAD438
	" 418 (BC_2, *, controlr, 1)," &
	" 419 (BC_2, IO_U8, output3, X, 418, 1, PULL0)," & --  PAD437
	" 420 (BC_2, IO_U8, input, X)," & --  PAD437
	" 421 (BC_2, *, controlr, 1)," &
	" 422 (BC_2, IO_T3, output3, X, 421, 1, PULL0)," & --  PAD436
	" 423 (BC_2, IO_T3, input, X)," & --  PAD436
	" 424 (BC_2, *, controlr, 1)," &
	" 425 (BC_2, IO_U3, output3, X, 424, 1, PULL0)," & --  PAD435
	" 426 (BC_2, IO_U3, input, X)," & --  PAD435
	" 427 (BC_2, *, controlr, 1)," &
	" 428 (BC_2, IO_U5, output3, X, 427, 1, PULL0)," & --  PAD434
	" 429 (BC_2, IO_U5, input, X)," & --  PAD434
	" 430 (BC_2, *, controlr, 1)," &
	" 431 (BC_2, IO_V5, output3, X, 430, 1, PULL0)," & --  PAD433
	" 432 (BC_2, IO_V5, input, X)," & --  PAD433
	" 433 (BC_2, *, controlr, 1)," &
	" 434 (BC_2, IO_R6, output3, X, 433, 1, PULL0)," & --  PAD432
	" 435 (BC_2, IO_R6, input, X)," & --  PAD432
	" 436 (BC_2, *, controlr, 1)," &
	" 437 (BC_2, IO_T6, output3, X, 436, 1, PULL0)," & --  PAD431
	" 438 (BC_2, IO_T6, input, X)," & --  PAD431
	" 439 (BC_2, *, controlr, 1)," &
	" 440 (BC_2, IO_R11, output3, X, 439, 1, PULL0)," & --  PAD430
	" 441 (BC_2, IO_R11, input, X)," & --  PAD430
	" 442 (BC_2, *, controlr, 1)," &
	" 443 (BC_2, IO_P11, output3, X, 442, 1, PULL0)," & --  PAD429
	" 444 (BC_2, IO_P11, input, X)," & --  PAD429
	" 445 (BC_2, *, controlr, 1)," &
	" 446 (BC_2, IO_P6, output3, X, 445, 1, PULL0)," & --  PAD428
	" 447 (BC_2, IO_P6, input, X)," & --  PAD428
	" 448 (BC_2, *, controlr, 1)," &
	" 449 (BC_2, IO_P7, output3, X, 448, 1, PULL0)," & --  PAD427
	" 450 (BC_2, IO_P7, input, X)," & --  PAD427
	" 451 (BC_2, *, controlr, 1)," &
	" 452 (BC_2, IO_T4, output3, X, 451, 1, PULL0)," & --  PAD426
	" 453 (BC_2, IO_T4, input, X)," & --  PAD426
	" 454 (BC_2, *, controlr, 1)," &
	" 455 (BC_2, IO_T5, output3, X, 454, 1, PULL0)," & --  PAD425
	" 456 (BC_2, IO_T5, input, X)," & --  PAD425
	" 457 (BC_2, *, controlr, 1)," &
	" 458 (BC_2, IO_R3, output3, X, 457, 1, PULL0)," & --  PAD424
	" 459 (BC_2, IO_R3, input, X)," & --  PAD424
	" 460 (BC_2, *, controlr, 1)," &
	" 461 (BC_2, IO_R4, output3, X, 460, 1, PULL0)," & --  PAD423
	" 462 (BC_2, IO_R4, input, X)," & --  PAD423
	" 463 (BC_2, *, controlr, 1)," &
	" 464 (BC_2, IO_P9, output3, X, 463, 1, PULL0)," & --  PAD422
	" 465 (BC_2, IO_P9, input, X)," & --  PAD422
	" 466 (BC_2, *, controlr, 1)," &
	" 467 (BC_2, IO_P10, output3, X, 466, 1, PULL0)," & --  PAD421
	" 468 (BC_2, IO_P10, input, X)," & --  PAD421
	" 469 (BC_2, *, controlr, 1)," &
	" 470 (BC_2, IO_P4, output3, X, 469, 1, PULL0)," & --  PAD420
	" 471 (BC_2, IO_P4, input, X)," & --  PAD420
	" 472 (BC_2, *, controlr, 1)," &
	" 473 (BC_2, IO_P5, output3, X, 472, 1, PULL0)," & --  PAD419
	" 474 (BC_2, IO_P5, input, X)," & --  PAD419
	" 475 (BC_2, *, controlr, 1)," &
	" 476 (BC_2, IO_N4, output3, X, 475, 1, PULL0)," & --  PAD418
	" 477 (BC_2, IO_N4, input, X)," & --  PAD418
	" 478 (BC_2, *, controlr, 1)," &
	" 479 (BC_2, IO_N5, output3, X, 478, 1, PULL0)," & --  PAD417
	" 480 (BC_2, IO_N5, input, X)," & --  PAD417
	" 481 (BC_2, *, controlr, 1)," &
	" 482 (BC_2, IO_N3, output3, X, 481, 1, PULL0)," & --  PAD416
	" 483 (BC_2, IO_N3, input, X)," & --  PAD416
	" 484 (BC_2, *, controlr, 1)," &
	" 485 (BC_2, IO_M3, output3, X, 484, 1, PULL0)," & --  PAD415
	" 486 (BC_2, IO_M3, input, X)," & --  PAD415
	" 487 (BC_2, *, controlr, 1)," &
	" 488 (BC_2, IO_M5, output3, X, 487, 1, PULL0)," & --  PAD414
	" 489 (BC_2, IO_M5, input, X)," & --  PAD414
	" 490 (BC_2, *, controlr, 1)," &
	" 491 (BC_2, IO_M6, output3, X, 490, 1, PULL0)," & --  PAD413
	" 492 (BC_2, IO_M6, input, X)," & --  PAD413
	" 493 (BC_2, *, controlr, 1)," &
	" 494 (BC_2, IO_L3, output3, X, 493, 1, PULL0)," & --  PAD412
	" 495 (BC_2, IO_L3, input, X)," & --  PAD412
	" 496 (BC_2, *, controlr, 1)," &
	" 497 (BC_2, IO_L4, output3, X, 496, 1, PULL0)," & --  PAD411
	" 498 (BC_2, IO_L4, input, X)," & --  PAD411
	" 499 (BC_2, *, controlr, 1)," &
	" 500 (BC_2, IO_L5, output3, X, 499, 1, PULL0)," & --  PAD410
	" 501 (BC_2, IO_L5, input, X)," & --  PAD410
	" 502 (BC_2, *, controlr, 1)," &
	" 503 (BC_2, IO_L6, output3, X, 502, 1, PULL0)," & --  PAD409
	" 504 (BC_2, IO_L6, input, X)," & --  PAD409
	" 505 (BC_2, *, controlr, 1)," &
	" 506 (BC_2, IO_N7, output3, X, 505, 1, PULL0)," & --  PAD408
	" 507 (BC_2, IO_N7, input, X)," & --  PAD408
	" 508 (BC_2, *, controlr, 1)," &
	" 509 (BC_2, IO_N8, output3, X, 508, 1, PULL0)," & --  PAD407
	" 510 (BC_2, IO_N8, input, X)," & --  PAD407
	" 511 (BC_2, *, controlr, 1)," &
	" 512 (BC_2, IO_N9, output3, X, 511, 1, PULL0)," & --  PAD406
	" 513 (BC_2, IO_N9, input, X)," & --  PAD406
	" 514 (BC_2, *, controlr, 1)," &
	" 515 (BC_2, IO_N10, output3, X, 514, 1, PULL0)," & --  PAD405
	" 516 (BC_2, IO_N10, input, X)," & --  PAD405
	" 517 (BC_2, *, controlr, 1)," &
	" 518 (BC_2, IO_K3, output3, X, 517, 1, PULL0)," & --  PAD404
	" 519 (BC_2, IO_K3, input, X)," & --  PAD404
	" 520 (BC_2, *, controlr, 1)," &
	" 521 (BC_2, IO_K4, output3, X, 520, 1, PULL0)," & --  PAD403
	" 522 (BC_2, IO_K4, input, X)," & --  PAD403
	" 523 (BC_2, *, controlr, 1)," &
	" 524 (BC_2, IO_K6, output3, X, 523, 1, PULL0)," & --  PAD402
	" 525 (BC_2, IO_K6, input, X)," & --  PAD402
	" 526 (BC_2, *, controlr, 1)," &
	" 527 (BC_2, IO_J6, output3, X, 526, 1, PULL0)," & --  PAD401
	" 528 (BC_2, IO_J6, input, X)," & --  PAD401
	" 529 (BC_2, *, controlr, 1)," &
	" 530 (BC_2, IO_J4, output3, X, 529, 1, PULL0)," & --  PAD400
	" 531 (BC_2, IO_J4, input, X)," & --  PAD400
	" 532 (BC_2, *, controlr, 1)," &
	" 533 (BC_2, IO_J5, output3, X, 532, 1, PULL0)," & --  PAD399
	" 534 (BC_2, IO_J5, input, X)," & --  PAD399
	" 535 (BC_2, *, controlr, 1)," &
	" 536 (BC_2, IO_F3, output3, X, 535, 1, PULL0)," & --  PAD398
	" 537 (BC_2, IO_F3, input, X)," & --  PAD398
	" 538 (BC_2, *, controlr, 1)," &
	" 539 (BC_2, IO_F4, output3, X, 538, 1, PULL0)," & --  PAD397
	" 540 (BC_2, IO_F4, input, X)," & --  PAD397
	" 541 (BC_2, *, controlr, 1)," &
	" 542 (BC_2, IO_H3, output3, X, 541, 1, PULL0)," & --  PAD396
	" 543 (BC_2, IO_H3, input, X)," & --  PAD396
	" 544 (BC_2, *, controlr, 1)," &
	" 545 (BC_2, IO_G3, output3, X, 544, 1, PULL0)," & --  PAD395
	" 546 (BC_2, IO_G3, input, X)," & --  PAD395
	" 547 (BC_2, *, controlr, 1)," &
	" 548 (BC_2, IO_G5, output3, X, 547, 1, PULL0)," & --  PAD394
	" 549 (BC_2, IO_G5, input, X)," & --  PAD394
	" 550 (BC_2, *, controlr, 1)," &
	" 551 (BC_2, IO_F5, output3, X, 550, 1, PULL0)," & --  PAD393
	" 552 (BC_2, IO_F5, input, X)," & --  PAD393
	" 553 (BC_2, *, controlr, 1)," &
	" 554 (BC_2, IO_M7, output3, X, 553, 1, PULL0)," & --  PAD392
	" 555 (BC_2, IO_M7, input, X)," & --  PAD392
	" 556 (BC_2, *, controlr, 1)," &
	" 557 (BC_2, IO_M8, output3, X, 556, 1, PULL0)," & --  PAD391
	" 558 (BC_2, IO_M8, input, X)," & --  PAD391
	" 559 (BC_2, *, controlr, 1)," &
	" 560 (BC_2, IO_M10, output3, X, 559, 1, PULL0)," & --  PAD390
	" 561 (BC_2, IO_M10, input, X)," & --  PAD390
	" 562 (BC_2, *, controlr, 1)," &
	" 563 (BC_2, IO_L10, output3, X, 562, 1, PULL0)," & --  PAD389
	" 564 (BC_2, IO_L10, input, X)," & --  PAD389
	" 565 (BC_2, *, controlr, 1)," &
	" 566 (BC_2, IO_H4, output3, X, 565, 1, PULL0)," & --  PAD388
	" 567 (BC_2, IO_H4, input, X)," & --  PAD388
	" 568 (BC_2, *, controlr, 1)," &
	" 569 (BC_2, IO_H5, output3, X, 568, 1, PULL0)," & --  PAD387
	" 570 (BC_2, IO_H5, input, X)," & --  PAD387
	" 571 (BC_2, *, controlr, 1)," &
	" 572 (BC_2, IO_L8, output3, X, 571, 1, PULL0)," & --  PAD386
	" 573 (BC_2, IO_L8, input, X)," & --  PAD386
	" 574 (BC_2, *, controlr, 1)," &
	" 575 (BC_2, IO_L9, output3, X, 574, 1, PULL0)," & --  PAD385
	" 576 (BC_2, IO_L9, input, X)," & --  PAD385
	" 577 (BC_2, *, controlr, 1)," &
	" 578 (BC_2, IO_C3, output3, X, 577, 1, PULL0)," & --  PAD384
	" 579 (BC_2, IO_C3, input, X)," & --  PAD384
	" 580 (BC_2, *, controlr, 1)," &
	" 581 (BC_2, IO_C4, output3, X, 580, 1, PULL0)," & --  PAD383
	" 582 (BC_2, IO_C4, input, X)," & --  PAD383
	" 583 (BC_2, *, controlr, 1)," &
	" 584 (BC_2, IO_M11, output3, X, 583, 1, PULL0)," & --  PAD382
	" 585 (BC_2, IO_M11, input, X)," & --  PAD382
	" 586 (BC_2, *, controlr, 1)," &
	" 587 (BC_2, IO_M12, output3, X, 586, 1, PULL0)," & --  PAD381
	" 588 (BC_2, IO_M12, input, X)," & --  PAD381
	" 589 (BC_2, *, controlr, 1)," &
	" 590 (BC_2, IO_E3, output3, X, 589, 1, PULL0)," & --  PAD380
	" 591 (BC_2, IO_E3, input, X)," & --  PAD380
	" 592 (BC_2, *, controlr, 1)," &
	" 593 (BC_2, IO_E4, output3, X, 592, 1, PULL0)," & --  PAD379
	" 594 (BC_2, IO_E4, input, X)," & --  PAD379
	" 595 (BC_2, *, controlr, 1)," &
	" 596 (BC_2, IO_L13, output3, X, 595, 1, PULL0)," & --  PAD378
	" 597 (BC_2, IO_L13, input, X)," & --  PAD378
	" 598 (BC_2, *, controlr, 1)," &
	" 599 (BC_2, IO_M13, output3, X, 598, 1, PULL0)," & --  PAD377
	" 600 (BC_2, IO_M13, input, X)," & --  PAD377
	" 601 (BC_2, *, controlr, 1)," &
	" 602 (BC_2, IO_D4, output3, X, 601, 1, PULL0)," & --  PAD376
	" 603 (BC_2, IO_D4, input, X)," & --  PAD376
	" 604 (BC_2, *, controlr, 1)," &
	" 605 (BC_2, IO_D5, output3, X, 604, 1, PULL0)," & --  PAD375
	" 606 (BC_2, IO_D5, input, X)," & --  PAD375
	" 607 (BC_2, *, controlr, 1)," &
	" 608 (BC_2, IO_K12, output3, X, 607, 1, PULL0)," & --  PAD374
	" 609 (BC_2, IO_K12, input, X)," & --  PAD374
	" 610 (BC_2, *, controlr, 1)," &
	" 611 (BC_2, IO_K13, output3, X, 610, 1, PULL0)," & --  PAD373
	" 612 (BC_2, IO_K13, input, X)," & --  PAD373
	" 613 (BC_2, *, controlr, 1)," &
	" 614 (BC_2, IO_C5, output3, X, 613, 1, PULL0)," & --  PAD372
	" 615 (BC_2, IO_C5, input, X)," & --  PAD372
	" 616 (BC_2, *, controlr, 1)," &
	" 617 (BC_2, IO_D6, output3, X, 616, 1, PULL0)," & --  PAD371
	" 618 (BC_2, IO_D6, input, X)," & --  PAD371
	" 619 (BC_2, *, controlr, 1)," &
	" 620 (BC_2, IO_D15, output3, X, 619, 1, PULL0)," & --  PAD370
	" 621 (BC_2, IO_D15, input, X)," & --  PAD370
	" 622 (BC_2, *, controlr, 1)," &
	" 623 (BC_2, IO_D16, output3, X, 622, 1, PULL0)," & --  PAD369
	" 624 (BC_2, IO_D16, input, X)," & --  PAD369
	" 625 (BC_2, *, controlr, 1)," &
	" 626 (BC_2, IO_G6, output3, X, 625, 1, PULL0)," & --  PAD368
	" 627 (BC_2, IO_G6, input, X)," & --  PAD368
	" 628 (BC_2, *, controlr, 1)," &
	" 629 (BC_2, IO_F6, output3, X, 628, 1, PULL0)," & --  PAD367
	" 630 (BC_2, IO_F6, input, X)," & --  PAD367
	" 631 (BC_2, *, controlr, 1)," &
	" 632 (BC_2, IO_C14, output3, X, 631, 1, PULL0)," & --  PAD366
	" 633 (BC_2, IO_C14, input, X)," & --  PAD366
	" 634 (BC_2, *, controlr, 1)," &
	" 635 (BC_2, IO_C15, output3, X, 634, 1, PULL0)," & --  PAD365
	" 636 (BC_2, IO_C15, input, X)," & --  PAD365
	" 637 (BC_2, *, controlr, 1)," &
	" 638 (BC_2, IO_D7, output3, X, 637, 1, PULL0)," & --  PAD364
	" 639 (BC_2, IO_D7, input, X)," & --  PAD364
	" 640 (BC_2, *, controlr, 1)," &
	" 641 (BC_2, IO_C7, output3, X, 640, 1, PULL0)," & --  PAD363
	" 642 (BC_2, IO_C7, input, X)," & --  PAD363
	" 643 (BC_2, *, controlr, 1)," &
	" 644 (BC_2, IO_D14, output3, X, 643, 1, PULL0)," & --  PAD362
	" 645 (BC_2, IO_D14, input, X)," & --  PAD362
	" 646 (BC_2, *, controlr, 1)," &
	" 647 (BC_2, IO_E14, output3, X, 646, 1, PULL0)," & --  PAD361
	" 648 (BC_2, IO_E14, input, X)," & --  PAD361
	" 649 (BC_2, *, controlr, 1)," &
	" 650 (BC_2, IO_K8, output3, X, 649, 1, PULL0)," & --  PAD360
	" 651 (BC_2, IO_K8, input, X)," & --  PAD360
	" 652 (BC_2, *, controlr, 1)," &
	" 653 (BC_2, IO_K9, output3, X, 652, 1, PULL0)," & --  PAD359
	" 654 (BC_2, IO_K9, input, X)," & --  PAD359
	" 655 (BC_2, *, controlr, 1)," &
	" 656 (BC_2, IO_E12, output3, X, 655, 1, PULL0)," & --  PAD358
	" 657 (BC_2, IO_E12, input, X)," & --  PAD358
	" 658 (BC_2, *, controlr, 1)," &
	" 659 (BC_2, IO_E13, output3, X, 658, 1, PULL0)," & --  PAD357
	" 660 (BC_2, IO_E13, input, X)," & --  PAD357
	" 661 (BC_2, *, controlr, 1)," &
	" 662 (BC_2, IO_E6, output3, X, 661, 1, PULL0)," & --  PAD356
	" 663 (BC_2, IO_E6, input, X)," & --  PAD356
	" 664 (BC_2, *, controlr, 1)," &
	" 665 (BC_2, IO_E7, output3, X, 664, 1, PULL0)," & --  PAD355
	" 666 (BC_2, IO_E7, input, X)," & --  PAD355
	" 667 (BC_2, *, controlr, 1)," &
	" 668 (BC_2, IO_H12, output3, X, 667, 1, PULL0)," & --  PAD354
	" 669 (BC_2, IO_H12, input, X)," & --  PAD354
	" 670 (BC_2, *, controlr, 1)," &
	" 671 (BC_2, IO_J12, output3, X, 670, 1, PULL0)," & --  PAD353
	" 672 (BC_2, IO_J12, input, X)," & --  PAD353
	" 673 (BC_2, *, controlr, 1)," &
	" 674 (BC_2, IO_H7, output3, X, 673, 1, PULL0)," & --  PAD352
	" 675 (BC_2, IO_H7, input, X)," & --  PAD352
	" 676 (BC_2, *, controlr, 1)," &
	" 677 (BC_2, IO_G7, output3, X, 676, 1, PULL0)," & --  PAD351
	" 678 (BC_2, IO_G7, input, X)," & --  PAD351
	" 679 (BC_2, *, controlr, 1)," &
	" 680 (BC_2, IO_L11, output3, X, 679, 1, PULL0)," & --  PAD350
	" 681 (BC_2, IO_L11, input, X)," & --  PAD350
	" 682 (BC_2, *, controlr, 1)," &
	" 683 (BC_2, IO_K11, output3, X, 682, 1, PULL0)," & --  PAD349
	" 684 (BC_2, IO_K11, input, X)," & --  PAD349
	" 685 (BC_2, *, controlr, 1)," &
	" 686 (BC_2, IO_K7, output3, X, 685, 1, PULL0)," & --  PAD348
	" 687 (BC_2, IO_K7, input, X)," & --  PAD348
	" 688 (BC_2, *, controlr, 1)," &
	" 689 (BC_2, IO_J7, output3, X, 688, 1, PULL0)," & --  PAD347
	" 690 (BC_2, IO_J7, input, X)," & --  PAD347
	" 691 (BC_2, *, controlr, 1)," &
	" 692 (BC_2, IO_G11, output3, X, 691, 1, PULL0)," & --  PAD346
	" 693 (BC_2, IO_G11, input, X)," & --  PAD346
	" 694 (BC_2, *, controlr, 1)," &
	" 695 (BC_2, IO_G12, output3, X, 694, 1, PULL0)," & --  PAD345
	" 696 (BC_2, IO_G12, input, X)," & --  PAD345
	" 697 (BC_2, *, controlr, 1)," &
	" 698 (BC_2, IO_H8, output3, X, 697, 1, PULL0)," & --  PAD344
	" 699 (BC_2, IO_H8, input, X)," & --  PAD344
	" 700 (BC_2, *, controlr, 1)," &
	" 701 (BC_2, IO_G8, output3, X, 700, 1, PULL0)," & --  PAD343
	" 702 (BC_2, IO_G8, input, X)," & --  PAD343
	" 703 (BC_2, *, controlr, 1)," &
	" 704 (BC_2, IO_J10, output3, X, 703, 1, PULL0)," & --  PAD342
	" 705 (BC_2, IO_J10, input, X)," & --  PAD342
	" 706 (BC_2, *, controlr, 1)," &
	" 707 (BC_2, IO_J11, output3, X, 706, 1, PULL0)," & --  PAD341
	" 708 (BC_2, IO_J11, input, X)," & --  PAD341
	" 709 (BC_2, *, controlr, 1)," &
	" 710 (BC_2, IO_F8, output3, X, 709, 1, PULL0)," & --  PAD340
	" 711 (BC_2, IO_F8, input, X)," & --  PAD340
	" 712 (BC_2, *, controlr, 1)," &
	" 713 (BC_2, IO_E8, output3, X, 712, 1, PULL0)," & --  PAD339
	" 714 (BC_2, IO_E8, input, X)," & --  PAD339
	" 715 (BC_2, *, controlr, 1)," &
	" 716 (BC_2, IO_C12, output3, X, 715, 1, PULL0)," & --  PAD338
	" 717 (BC_2, IO_C12, input, X)," & --  PAD338
	" 718 (BC_2, *, controlr, 1)," &
	" 719 (BC_2, IO_C13, output3, X, 718, 1, PULL0)," & --  PAD337
	" 720 (BC_2, IO_C13, input, X)," & --  PAD337
	" 721 (BC_2, *, controlr, 1)," &
	" 722 (BC_2, IO_C8, output3, X, 721, 1, PULL0)," & --  PAD336
	" 723 (BC_2, IO_C8, input, X)," & --  PAD336
	" 724 (BC_2, *, controlr, 1)," &
	" 725 (BC_2, IO_C9, output3, X, 724, 1, PULL0)," & --  PAD335
	" 726 (BC_2, IO_C9, input, X)," & --  PAD335
	" 727 (BC_2, *, controlr, 1)," &
	" 728 (BC_2, IO_D11, output3, X, 727, 1, PULL0)," & --  PAD334
	" 729 (BC_2, IO_D11, input, X)," & --  PAD334
	" 730 (BC_2, *, controlr, 1)," &
	" 731 (BC_2, IO_D12, output3, X, 730, 1, PULL0)," & --  PAD333
	" 732 (BC_2, IO_D12, input, X)," & --  PAD333
	" 733 (BC_2, *, controlr, 1)," &
	" 734 (BC_2, IO_E9, output3, X, 733, 1, PULL0)," & --  PAD332
	" 735 (BC_2, IO_E9, input, X)," & --  PAD332
	" 736 (BC_2, *, controlr, 1)," &
	" 737 (BC_2, IO_D9, output3, X, 736, 1, PULL0)," & --  PAD331
	" 738 (BC_2, IO_D9, input, X)," & --  PAD331
	" 739 (BC_2, *, controlr, 1)," &
	" 740 (BC_2, IO_E11, output3, X, 739, 1, PULL0)," & --  PAD330
	" 741 (BC_2, IO_E11, input, X)," & --  PAD330
	" 742 (BC_2, *, controlr, 1)," &
	" 743 (BC_2, IO_F11, output3, X, 742, 1, PULL0)," & --  PAD329
	" 744 (BC_2, IO_F11, input, X)," & --  PAD329
	" 745 (BC_2, *, controlr, 1)," &
	" 746 (BC_2, IO_J9, output3, X, 745, 1, PULL0)," & --  PAD328
	" 747 (BC_2, IO_J9, input, X)," & --  PAD328
	" 748 (BC_2, *, controlr, 1)," &
	" 749 (BC_2, IO_H9, output3, X, 748, 1, PULL0)," & --  PAD327
	" 750 (BC_2, IO_H9, input, X)," & --  PAD327
	" 751 (BC_2, *, controlr, 1)," &
	" 752 (BC_2, IO_F9, output3, X, 751, 1, PULL0)," & --  PAD326
	" 753 (BC_2, IO_F9, input, X)," & --  PAD326
	" 754 (BC_2, *, controlr, 1)," &
	" 755 (BC_2, IO_F10, output3, X, 754, 1, PULL0)," & --  PAD325
	" 756 (BC_2, IO_F10, input, X)," & --  PAD325
	" 757 (BC_2, *, controlr, 1)," &
	" 758 (BC_2, IO_C10, output3, X, 757, 1, PULL0)," & --  PAD324
	" 759 (BC_2, IO_C10, input, X)," & --  PAD324
	" 760 (BC_2, *, controlr, 1)," &
	" 761 (BC_2, IO_D10, output3, X, 760, 1, PULL0)," & --  PAD323
	" 762 (BC_2, IO_D10, input, X)," & --  PAD323
	" 763 (BC_2, *, controlr, 1)," &
	" 764 (BC_2, IO_H10, output3, X, 763, 1, PULL0)," & --  PAD322
	" 765 (BC_2, IO_H10, input, X)," & --  PAD322
	" 766 (BC_2, *, controlr, 1)," &
	" 767 (BC_2, IO_G10, output3, X, 766, 1, PULL0)," & --  PAD321
	" 768 (BC_2, IO_G10, input, X)," & --  PAD321
	" 769 (BC_2, *, controlr, 1)," &
	" 770 (BC_2, IO_AG18, output3, X, 769, 1, PULL0)," & --  PAD320
	" 771 (BC_2, IO_AG18, input, X)," & --  PAD320
	" 772 (BC_2, *, controlr, 1)," &
	" 773 (BC_2, IO_AF18, output3, X, 772, 1, PULL0)," & --  PAD319
	" 774 (BC_2, IO_AF18, input, X)," & --  PAD319
	" 775 (BC_2, *, controlr, 1)," &
	" 776 (BC_2, IO_AE19, output3, X, 775, 1, PULL0)," & --  PAD318
	" 777 (BC_2, IO_AE19, input, X)," & --  PAD318
	" 778 (BC_2, *, controlr, 1)," &
	" 779 (BC_2, IO_AD19, output3, X, 778, 1, PULL0)," & --  PAD317
	" 780 (BC_2, IO_AD19, input, X)," & --  PAD317
	" 781 (BC_2, *, controlr, 1)," &
	" 782 (BC_2, IO_AG16, output3, X, 781, 1, PULL0)," & --  PAD316
	" 783 (BC_2, IO_AG16, input, X)," & --  PAD316
	" 784 (BC_2, *, controlr, 1)," &
	" 785 (BC_2, IO_AG17, output3, X, 784, 1, PULL0)," & --  PAD315
	" 786 (BC_2, IO_AG17, input, X)," & --  PAD315
	" 787 (BC_2, *, controlr, 1)," &
	" 788 (BC_2, IO_AF19, output3, X, 787, 1, PULL0)," & --  PAD314
	" 789 (BC_2, IO_AF19, input, X)," & --  PAD314
	" 790 (BC_2, *, controlr, 1)," &
	" 791 (BC_2, IO_AF20, output3, X, 790, 1, PULL0)," & --  PAD313
	" 792 (BC_2, IO_AF20, input, X)," & --  PAD313
	" 793 (BC_2, *, controlr, 1)," &
	" 794 (BC_2, IO_AE17, output3, X, 793, 1, PULL0)," & --  PAD312
	" 795 (BC_2, IO_AE17, input, X)," & --  PAD312
	" 796 (BC_2, *, controlr, 1)," &
	" 797 (BC_2, IO_AE18, output3, X, 796, 1, PULL0)," & --  PAD311
	" 798 (BC_2, IO_AE18, input, X)," & --  PAD311
	" 799 (BC_2, *, controlr, 1)," &
	" 800 (BC_2, IO_AF21, output3, X, 799, 1, PULL0)," & --  PAD310
	" 801 (BC_2, IO_AF21, input, X)," & --  PAD310
	" 802 (BC_2, *, controlr, 1)," &
	" 803 (BC_2, IO_AE21, output3, X, 802, 1, PULL0)," & --  PAD309
	" 804 (BC_2, IO_AE21, input, X)," & --  PAD309
	" 805 (BC_2, *, controlr, 1)," &
	" 806 (BC_2, IO_AE16, output3, X, 805, 1, PULL0)," & --  PAD308
	" 807 (BC_2, IO_AE16, input, X)," & --  PAD308
	" 808 (BC_2, *, controlr, 1)," &
	" 809 (BC_2, IO_AF16, output3, X, 808, 1, PULL0)," & --  PAD307
	" 810 (BC_2, IO_AF16, input, X)," & --  PAD307
	" 811 (BC_2, *, controlr, 1)," &
	" 812 (BC_2, IO_AD20, output3, X, 811, 1, PULL0)," & --  PAD306
	" 813 (BC_2, IO_AD20, input, X)," & --  PAD306
	" 814 (BC_2, *, controlr, 1)," &
	" 815 (BC_2, IO_AD21, output3, X, 814, 1, PULL0)," & --  PAD305
	" 816 (BC_2, IO_AD21, input, X)," & --  PAD305
	" 817 (BC_2, *, controlr, 1)," &
	" 818 (BC_2, IO_AH18, output3, X, 817, 1, PULL0)," & --  PAD304
	" 819 (BC_2, IO_AH18, input, X)," & --  PAD304
	" 820 (BC_2, *, controlr, 1)," &
	" 821 (BC_2, IO_AH19, output3, X, 820, 1, PULL0)," & --  PAD303
	" 822 (BC_2, IO_AH19, input, X)," & --  PAD303
	" 823 (BC_2, *, controlr, 1)," &
	" 824 (BC_2, IO_AH20, output3, X, 823, 1, PULL0)," & --  PAD302
	" 825 (BC_2, IO_AH20, input, X)," & --  PAD302
	" 826 (BC_2, *, controlr, 1)," &
	" 827 (BC_2, IO_AG20, output3, X, 826, 1, PULL0)," & --  PAD301
	" 828 (BC_2, IO_AG20, input, X)," & --  PAD301
	" 829 (BC_2, *, controlr, 1)," &
	" 830 (BC_2, IO_AK19, output3, X, 829, 1, PULL0)," & --  PAD300
	" 831 (BC_2, IO_AK19, input, X)," & --  PAD300
	" 832 (BC_2, *, controlr, 1)," &
	" 833 (BC_2, IO_AJ19, output3, X, 832, 1, PULL0)," & --  PAD299
	" 834 (BC_2, IO_AJ19, input, X)," & --  PAD299
	" 835 (BC_2, *, controlr, 1)," &
	" 836 (BC_2, IO_AJ20, output3, X, 835, 1, PULL0)," & --  PAD298
	" 837 (BC_2, IO_AJ20, input, X)," & --  PAD298
	" 838 (BC_2, *, controlr, 1)," &
	" 839 (BC_2, IO_AJ21, output3, X, 838, 1, PULL0)," & --  PAD297
	" 840 (BC_2, IO_AJ21, input, X)," & --  PAD297
	" 841 (BC_2, *, controlr, 1)," &
	" 842 (BC_2, IO_AJ17, output3, X, 841, 1, PULL0)," & --  PAD296
	" 843 (BC_2, IO_AJ17, input, X)," & --  PAD296
	" 844 (BC_2, *, controlr, 1)," &
	" 845 (BC_2, IO_AH17, output3, X, 844, 1, PULL0)," & --  PAD295
	" 846 (BC_2, IO_AH17, input, X)," & --  PAD295
	" 847 (BC_2, *, controlr, 1)," &
	" 848 (BC_2, IO_AG21, output3, X, 847, 1, PULL0)," & --  PAD294
	" 849 (BC_2, IO_AG21, input, X)," & --  PAD294
	" 850 (BC_2, *, controlr, 1)," &
	" 851 (BC_2, IO_AG22, output3, X, 850, 1, PULL0)," & --  PAD293
	" 852 (BC_2, IO_AG22, input, X)," & --  PAD293
	" 853 (BC_2, *, controlr, 1)," &
	" 854 (BC_2, IO_AK17, output3, X, 853, 1, PULL0)," & --  PAD292
	" 855 (BC_2, IO_AK17, input, X)," & --  PAD292
	" 856 (BC_2, *, controlr, 1)," &
	" 857 (BC_2, IO_AK18, output3, X, 856, 1, PULL0)," & --  PAD291
	" 858 (BC_2, IO_AK18, input, X)," & --  PAD291
	" 859 (BC_2, *, controlr, 1)," &
	" 860 (BC_2, IO_AJ22, output3, X, 859, 1, PULL0)," & --  PAD290
	" 861 (BC_2, IO_AJ22, input, X)," & --  PAD290
	" 862 (BC_2, *, controlr, 1)," &
	" 863 (BC_2, IO_AH22, output3, X, 862, 1, PULL0)," & --  PAD289
	" 864 (BC_2, IO_AH22, input, X)," & --  PAD289
	" 865 (BC_2, M0_V18, input, X)," &
	" 866 (BC_2, M1_W19, input, X)," &
	" 867 (BC_2, M2_T20, input, X)," &
	" 868 (BC_2, *, controlr, 1)," &
	" 869 (BC_2, DOUT_BUSY_Y18, output3, X, 868, 1, PULL1)," &
	" 870 (BC_2, *, internal, 1)," & --  PWRDWN_B
	" 871 (BC_2, *, internal, 1)," & --  PWRDWN_B
	" 872 (BC_2, *, internal, 1)," & --  PWRDWN_B
	" 873 (BC_2, RDWR_B_W15, input, X)," &
	" 874 (BC_2, CS_B_T16, input, X)," &
	" 875 (BC_2, D_IN_R17, input, X)," &
	" 876 (BC_2, *, controlr, 1)," &
	" 877 (BC_2, DONE_R19, output3, X, 876, 1, PULL1)," &
	" 878 (BC_2, DONE_R19, input, X)," &
	" 879 (BC_2, *, controlr, 1)," &
	" 880 (BC_2, CCLK_T18, output3, X, 879, 1, PULL1)," &
	" 881 (BC_2, CCLK_T18, input, X)," &
	" 882 (BC_2, *, controlr, 1)," &
	" 883 (BC_2, INIT_P19, output3, X, 882, 1, PULL1)," &
	" 884 (BC_2, INIT_P19, input, X)," &
	" 885 (BC_2, *, internal, 1)," & --  PROG_B
	" 886 (BC_2, HSWAP_EN_P20, input, 1)," &
	" 887 (BC_2, *, controlr, 1)," &
	" 888 (BC_2, IO_G13, output3, X, 887, 1, PULL0)," & --  PAD288
	" 889 (BC_2, IO_G13, input, X)," & --  PAD288
	" 890 (BC_2, *, controlr, 1)," &
	" 891 (BC_2, IO_F13, output3, X, 890, 1, PULL0)," & --  PAD287
	" 892 (BC_2, IO_F13, input, X)," & --  PAD287
	" 893 (BC_2, *, controlr, 1)," &
	" 894 (BC_2, IO_F16, output3, X, 893, 1, PULL0)," & --  PAD286
	" 895 (BC_2, IO_F16, input, X)," & --  PAD286
	" 896 (BC_2, *, controlr, 1)," &
	" 897 (BC_2, IO_E16, output3, X, 896, 1, PULL0)," & --  PAD285
	" 898 (BC_2, IO_E16, input, X)," & --  PAD285
	" 899 (BC_2, *, controlr, 1)," &
	" 900 (BC_2, IO_F14, output3, X, 899, 1, PULL0)," & --  PAD284
	" 901 (BC_2, IO_F14, input, X)," & --  PAD284
	" 902 (BC_2, *, controlr, 1)," &
	" 903 (BC_2, IO_F15, output3, X, 902, 1, PULL0)," & --  PAD283
	" 904 (BC_2, IO_F15, input, X)," & --  PAD283
	" 905 (BC_2, *, controlr, 1)," &
	" 906 (BC_2, IO_E17, output3, X, 905, 1, PULL0)," & --  PAD282
	" 907 (BC_2, IO_E17, input, X)," & --  PAD282
	" 908 (BC_2, *, controlr, 1)," &
	" 909 (BC_2, IO_E18, output3, X, 908, 1, PULL0)," & --  PAD281
	" 910 (BC_2, IO_E18, input, X)," & --  PAD281
	" 911 (BC_2, *, controlr, 1)," &
	" 912 (BC_2, IO_H15, output3, X, 911, 1, PULL0)," & --  PAD280
	" 913 (BC_2, IO_H15, input, X)," & --  PAD280
	" 914 (BC_2, *, controlr, 1)," &
	" 915 (BC_2, IO_G15, output3, X, 914, 1, PULL0)," & --  PAD279
	" 916 (BC_2, IO_G15, input, X)," & --  PAD279
	" 917 (BC_2, *, controlr, 1)," &
	" 918 (BC_2, IO_G16, output3, X, 917, 1, PULL0)," & --  PAD278
	" 919 (BC_2, IO_G16, input, X)," & --  PAD278
	" 920 (BC_2, *, controlr, 1)," &
	" 921 (BC_2, IO_G17, output3, X, 920, 1, PULL0)," & --  PAD277
	" 922 (BC_2, IO_G17, input, X)," & --  PAD277
	" 923 (BC_2, *, controlr, 1)," &
	" 924 (BC_2, IO_H13, output3, X, 923, 1, PULL0)," & --  PAD276
	" 925 (BC_2, IO_H13, input, X)," & --  PAD276
	" 926 (BC_2, *, controlr, 1)," &
	" 927 (BC_2, IO_H14, output3, X, 926, 1, PULL0)," & --  PAD275
	" 928 (BC_2, IO_H14, input, X)," & --  PAD275
	" 929 (BC_2, *, controlr, 1)," &
	" 930 (BC_2, IO_F18, output3, X, 929, 1, PULL0)," & --  PAD274
	" 931 (BC_2, IO_F18, input, X)," & --  PAD274
	" 932 (BC_2, *, controlr, 1)," &
	" 933 (BC_2, IO_G18, output3, X, 932, 1, PULL0)," & --  PAD273
	" 934 (BC_2, IO_G18, input, X)," & --  PAD273
	" 935 (BC_2, *, controlr, 1)," &
	" 936 (BC_2, IO_L14, output3, X, 935, 1, PULL0)," & --  PAD272
	" 937 (BC_2, IO_L14, input, X)," & --  PAD272
	" 938 (BC_2, *, controlr, 1)," &
	" 939 (BC_2, IO_L15, output3, X, 938, 1, PULL0)," & --  PAD271
	" 940 (BC_2, IO_L15, input, X)," & --  PAD271
	" 941 (BC_2, *, controlr, 1)," &
	" 942 (BC_2, IO_H18, output3, X, 941, 1, PULL0)," & --  PAD270
	" 943 (BC_2, IO_H18, input, X)," & --  PAD270
	" 944 (BC_2, *, controlr, 1)," &
	" 945 (BC_2, IO_H19, output3, X, 944, 1, PULL0)," & --  PAD269
	" 946 (BC_2, IO_H19, input, X)," & --  PAD269
	" 947 (BC_2, *, controlr, 1)," &
	" 948 (BC_2, IO_K14, output3, X, 947, 1, PULL0)," & --  PAD268
	" 949 (BC_2, IO_K14, input, X)," & --  PAD268
	" 950 (BC_2, *, controlr, 1)," &
	" 951 (BC_2, IO_J14, output3, X, 950, 1, PULL0)," & --  PAD267
	" 952 (BC_2, IO_J14, input, X)," & --  PAD267
	" 953 (BC_2, *, controlr, 1)," &
	" 954 (BC_2, IO_J19, output3, X, 953, 1, PULL0)," & --  PAD266
	" 955 (BC_2, IO_J19, input, X)," & --  PAD266
	" 956 (BC_2, *, controlr, 1)," &
	" 957 (BC_2, IO_K19, output3, X, 956, 1, PULL0)," & --  PAD265
	" 958 (BC_2, IO_K19, input, X)," & --  PAD265
	" 959 (BC_2, *, controlr, 1)," &
	" 960 (BC_2, IO_J15, output3, X, 959, 1, PULL0)," & --  PAD264
	" 961 (BC_2, IO_J15, input, X)," & --  PAD264
	" 962 (BC_2, *, controlr, 1)," &
	" 963 (BC_2, IO_J16, output3, X, 962, 1, PULL0)," & --  PAD263
	" 964 (BC_2, IO_J16, input, X)," & --  PAD263
	" 965 (BC_2, *, controlr, 1)," &
	" 966 (BC_2, IO_K17, output3, X, 965, 1, PULL0)," & --  PAD262
	" 967 (BC_2, IO_K17, input, X)," & --  PAD262
	" 968 (BC_2, *, controlr, 1)," &
	" 969 (BC_2, IO_K18, output3, X, 968, 1, PULL0)," & --  PAD261
	" 970 (BC_2, IO_K18, input, X)," & --  PAD261
	" 971 (BC_2, *, controlr, 1)," &
	" 972 (BC_2, IO_L16, output3, X, 971, 1, PULL0)," & --  PAD260
	" 973 (BC_2, IO_L16, input, X)," & --  PAD260
	" 974 (BC_2, *, controlr, 1)," &
	" 975 (BC_2, IO_K16, output3, X, 974, 1, PULL0)," & --  PAD259
	" 976 (BC_2, IO_K16, input, X)," & --  PAD259
	" 977 (BC_2, *, controlr, 1)," &
	" 978 (BC_2, IO_J17, output3, X, 977, 1, PULL0)," & --  PAD258
	" 979 (BC_2, IO_J17, input, X)," & --  PAD258
	" 980 (BC_2, *, controlr, 1)," &
	" 981 (BC_2, IO_H17, output3, X, 980, 1, PULL0)," & --  PAD257
	" 982 (BC_2, IO_H17, input, X)," & --  PAD257
	" 983 (BC_2, *, controlr, 1)," &
	" 984 (BC_2, IO_AJ25, output3, X, 983, 1, PULL0)," & --  PAD256
	" 985 (BC_2, IO_AJ25, input, X)," & --  PAD256
	" 986 (BC_2, *, controlr, 1)," &
	" 987 (BC_2, IO_AJ26, output3, X, 986, 1, PULL0)," & --  PAD255
	" 988 (BC_2, IO_AJ26, input, X)," & --  PAD255
	" 989 (BC_2, *, controlr, 1)," &
	" 990 (BC_2, IO_AF24, output3, X, 989, 1, PULL0)," & --  PAD254
	" 991 (BC_2, IO_AF24, input, X)," & --  PAD254
	" 992 (BC_2, *, controlr, 1)," &
	" 993 (BC_2, IO_AF25, output3, X, 992, 1, PULL0)," & --  PAD253
	" 994 (BC_2, IO_AF25, input, X)," & --  PAD253
	" 995 (BC_2, *, controlr, 1)," &
	" 996 (BC_2, IO_AM26, output3, X, 995, 1, PULL0)," & --  PAD252
	" 997 (BC_2, IO_AM26, input, X)," & --  PAD252
	" 998 (BC_2, *, controlr, 1)," &
	" 999 (BC_2, IO_AL26, output3, X, 998, 1, PULL0)," & --  PAD251
	"1000 (BC_2, IO_AL26, input, X)," & --  PAD251
	"1001 (BC_2, *, controlr, 1)," &
	"1002 (BC_2, IO_AH25, output3, X, 1001, 1, PULL0)," & --  PAD250
	"1003 (BC_2, IO_AH25, input, X)," & --  PAD250
	"1004 (BC_2, *, controlr, 1)," &
	"1005 (BC_2, IO_AG25, output3, X, 1004, 1, PULL0)," & --  PAD249
	"1006 (BC_2, IO_AG25, input, X)," & --  PAD249
	"1007 (BC_2, *, controlr, 1)," &
	"1008 (BC_2, IO_AE24, output3, X, 1007, 1, PULL0)," & --  PAD248
	"1009 (BC_2, IO_AE24, input, X)," & --  PAD248
	"1010 (BC_2, *, controlr, 1)," &
	"1011 (BC_2, IO_AD24, output3, X, 1010, 1, PULL0)," & --  PAD247
	"1012 (BC_2, IO_AD24, input, X)," & --  PAD247
	"1013 (BC_2, *, controlr, 1)," &
	"1014 (BC_2, IO_AG26, output3, X, 1013, 1, PULL0)," & --  PAD246
	"1015 (BC_2, IO_AG26, input, X)," & --  PAD246
	"1016 (BC_2, *, controlr, 1)," &
	"1017 (BC_2, IO_AF26, output3, X, 1016, 1, PULL0)," & --  PAD245
	"1018 (BC_2, IO_AF26, input, X)," & --  PAD245
	"1019 (BC_2, *, controlr, 1)," &
	"1020 (BC_2, IO_AM25, output3, X, 1019, 1, PULL0)," & --  PAD244
	"1021 (BC_2, IO_AM25, input, X)," & --  PAD244
	"1022 (BC_2, *, controlr, 1)," &
	"1023 (BC_2, IO_AL25, output3, X, 1022, 1, PULL0)," & --  PAD243
	"1024 (BC_2, IO_AL25, input, X)," & --  PAD243
	"1025 (BC_2, *, controlr, 1)," &
	"1026 (BC_2, IO_AJ27, output3, X, 1025, 1, PULL0)," & --  PAD242
	"1027 (BC_2, IO_AJ27, input, X)," & --  PAD242
	"1028 (BC_2, *, controlr, 1)," &
	"1029 (BC_2, IO_AH27, output3, X, 1028, 1, PULL0)," & --  PAD241
	"1030 (BC_2, IO_AH27, input, X)," & --  PAD241
	"1031 (BC_2, *, controlr, 1)," &
	"1032 (BC_2, IO_AH24, output3, X, 1031, 1, PULL0)," & --  PAD240
	"1033 (BC_2, IO_AH24, input, X)," & --  PAD240
	"1034 (BC_2, *, controlr, 1)," &
	"1035 (BC_2, IO_AJ24, output3, X, 1034, 1, PULL0)," & --  PAD239
	"1036 (BC_2, IO_AJ24, input, X)," & --  PAD239
	"1037 (BC_2, *, controlr, 1)," &
	"1038 (BC_2, IO_AK26, output3, X, 1037, 1, PULL0)," & --  PAD238
	"1039 (BC_2, IO_AK26, input, X)," & --  PAD238
	"1040 (BC_2, *, controlr, 1)," &
	"1041 (BC_2, IO_AK27, output3, X, 1040, 1, PULL0)," & --  PAD237
	"1042 (BC_2, IO_AK27, input, X)," & --  PAD237
	"1043 (BC_2, *, controlr, 1)," &
	"1044 (BC_2, IO_AK24, output3, X, 1043, 1, PULL0)," & --  PAD236
	"1045 (BC_2, IO_AK24, input, X)," & --  PAD236
	"1046 (BC_2, *, controlr, 1)," &
	"1047 (BC_2, IO_AL24, output3, X, 1046, 1, PULL0)," & --  PAD235
	"1048 (BC_2, IO_AL24, input, X)," & --  PAD235
	"1049 (BC_2, *, controlr, 1)," &
	"1050 (BC_2, IO_AE26, output3, X, 1049, 1, PULL0)," & --  PAD234
	"1051 (BC_2, IO_AE26, input, X)," & --  PAD234
	"1052 (BC_2, *, controlr, 1)," &
	"1053 (BC_2, IO_AE27, output3, X, 1052, 1, PULL0)," & --  PAD233
	"1054 (BC_2, IO_AE27, input, X)," & --  PAD233
	"1055 (BC_2, *, controlr, 1)," &
	"1056 (BC_2, IO_AE23, output3, X, 1055, 1, PULL0)," & --  PAD232
	"1057 (BC_2, IO_AE23, input, X)," & --  PAD232
	"1058 (BC_2, *, controlr, 1)," &
	"1059 (BC_2, IO_AF23, output3, X, 1058, 1, PULL0)," & --  PAD231
	"1060 (BC_2, IO_AF23, input, X)," & --  PAD231
	"1061 (BC_2, *, controlr, 1)," &
	"1062 (BC_2, IO_AF28, output3, X, 1061, 1, PULL0)," & --  PAD230
	"1063 (BC_2, IO_AF28, input, X)," & --  PAD230
	"1064 (BC_2, *, controlr, 1)," &
	"1065 (BC_2, IO_AE28, output3, X, 1064, 1, PULL0)," & --  PAD229
	"1066 (BC_2, IO_AE28, input, X)," & --  PAD229
	"1067 (BC_2, *, controlr, 1)," &
	"1068 (BC_2, IO_AG23, output3, X, 1067, 1, PULL0)," & --  PAD228
	"1069 (BC_2, IO_AG23, input, X)," & --  PAD228
	"1070 (BC_2, *, controlr, 1)," &
	"1071 (BC_2, IO_AH23, output3, X, 1070, 1, PULL0)," & --  PAD227
	"1072 (BC_2, IO_AH23, input, X)," & --  PAD227
	"1073 (BC_2, *, controlr, 1)," &
	"1074 (BC_2, IO_AG27, output3, X, 1073, 1, PULL0)," & --  PAD226
	"1075 (BC_2, IO_AG27, input, X)," & --  PAD226
	"1076 (BC_2, *, controlr, 1)," &
	"1077 (BC_2, IO_AG28, output3, X, 1076, 1, PULL0)," & --  PAD225
	"1078 (BC_2, IO_AG28, input, X)," & --  PAD225
	"1079 (BC_2, *, controlr, 1)," &
	"1080 (BC_2, IO_AK22, output3, X, 1079, 1, PULL0)," & --  PAD224
	"1081 (BC_2, IO_AK22, input, X)," & --  PAD224
	"1082 (BC_2, *, controlr, 1)," &
	"1083 (BC_2, IO_AK23, output3, X, 1082, 1, PULL0)," & --  PAD223
	"1084 (BC_2, IO_AK23, input, X)," & --  PAD223
	"1085 (BC_2, *, controlr, 1)," &
	"1086 (BC_2, IO_AL28, output3, X, 1085, 1, PULL0)," & --  PAD222
	"1087 (BC_2, IO_AL28, input, X)," & --  PAD222
	"1088 (BC_2, *, controlr, 1)," &
	"1089 (BC_2, IO_AK28, output3, X, 1088, 1, PULL0)," & --  PAD221
	"1090 (BC_2, IO_AK28, input, X)," & --  PAD221
	"1091 (BC_2, *, controlr, 1)," &
	"1092 (BC_2, IO_AL23, output3, X, 1091, 1, PULL0)," & --  PAD220
	"1093 (BC_2, IO_AL23, input, X)," & --  PAD220
	"1094 (BC_2, *, controlr, 1)," &
	"1095 (BC_2, IO_AM23, output3, X, 1094, 1, PULL0)," & --  PAD219
	"1096 (BC_2, IO_AM23, input, X)," & --  PAD219
	"1097 (BC_2, *, controlr, 1)," &
	"1098 (BC_2, IO_AM27, output3, X, 1097, 1, PULL0)," & --  PAD218
	"1099 (BC_2, IO_AM27, input, X)," & --  PAD218
	"1100 (BC_2, *, controlr, 1)," &
	"1101 (BC_2, IO_AM28, output3, X, 1100, 1, PULL0)," & --  PAD217
	"1102 (BC_2, IO_AM28, input, X)," & --  PAD217
	"1103 (BC_2, *, controlr, 1)," &
	"1104 (BC_2, IO_AD22, output3, X, 1103, 1, PULL0)," & --  PAD216
	"1105 (BC_2, IO_AD22, input, X)," & --  PAD216
	"1106 (BC_2, *, controlr, 1)," &
	"1107 (BC_2, IO_AE22, output3, X, 1106, 1, PULL0)," & --  PAD215
	"1108 (BC_2, IO_AE22, input, X)," & --  PAD215
	"1109 (BC_2, *, controlr, 1)," &
	"1110 (BC_2, IO_AH28, output3, X, 1109, 1, PULL0)," & --  PAD214
	"1111 (BC_2, IO_AH28, input, X)," & --  PAD214
	"1112 (BC_2, *, controlr, 1)," &
	"1113 (BC_2, IO_AH29, output3, X, 1112, 1, PULL0)," & --  PAD213
	"1114 (BC_2, IO_AH29, input, X)," & --  PAD213
	"1115 (BC_2, *, controlr, 1)," &
	"1116 (BC_2, IO_AM21, output3, X, 1115, 1, PULL0)," & --  PAD212
	"1117 (BC_2, IO_AM21, input, X)," & --  PAD212
	"1118 (BC_2, *, controlr, 1)," &
	"1119 (BC_2, IO_AM22, output3, X, 1118, 1, PULL0)," & --  PAD211
	"1120 (BC_2, IO_AM22, input, X)," & --  PAD211
	"1121 (BC_2, *, controlr, 1)," &
	"1122 (BC_2, IO_AK29, output3, X, 1121, 1, PULL0)," & --  PAD210
	"1123 (BC_2, IO_AK29, input, X)," & --  PAD210
	"1124 (BC_2, *, controlr, 1)," &
	"1125 (BC_2, IO_AJ29, output3, X, 1124, 1, PULL0)," & --  PAD209
	"1126 (BC_2, IO_AJ29, input, X)," & --  PAD209
	"1127 (BC_2, *, controlr, 1)," &
	"1128 (BC_2, IO_AK21, output3, X, 1127, 1, PULL0)," & --  PAD208
	"1129 (BC_2, IO_AK21, input, X)," & --  PAD208
	"1130 (BC_2, *, controlr, 1)," &
	"1131 (BC_2, IO_AL21, output3, X, 1130, 1, PULL0)," & --  PAD207
	"1132 (BC_2, IO_AL21, input, X)," & --  PAD207
	"1133 (BC_2, *, controlr, 1)," &
	"1134 (BC_2, IO_AL29, output3, X, 1133, 1, PULL0)," & --  PAD206
	"1135 (BC_2, IO_AL29, input, X)," & --  PAD206
	"1136 (BC_2, *, controlr, 1)," &
	"1137 (BC_2, IO_AM30, output3, X, 1136, 1, PULL0)," & --  PAD205
	"1138 (BC_2, IO_AM30, input, X)," & --  PAD205
	"1139 (BC_2, *, controlr, 1)," &
	"1140 (BC_2, IO_AL20, output3, X, 1139, 1, PULL0)," & --  PAD204
	"1141 (BC_2, IO_AL20, input, X)," & --  PAD204
	"1142 (BC_2, *, controlr, 1)," &
	"1143 (BC_2, IO_AM20, output3, X, 1142, 1, PULL0)," & --  PAD203
	"1144 (BC_2, IO_AM20, input, X)," & --  PAD203
	"1145 (BC_2, *, controlr, 1)," &
	"1146 (BC_2, IO_AL30, output3, X, 1145, 1, PULL0)," & --  PAD202
	"1147 (BC_2, IO_AL30, input, X)," & --  PAD202
	"1148 (BC_2, *, controlr, 1)," &
	"1149 (BC_2, IO_AL31, output3, X, 1148, 1, PULL0)," & --  PAD201
	"1150 (BC_2, IO_AL31, input, X)," & --  PAD201
	"1151 (BC_2, *, controlr, 1)," &
	"1152 (BC_2, IO_AC22, output3, X, 1151, 1, PULL0)," & --  PAD200
	"1153 (BC_2, IO_AC22, input, X)," & --  PAD200
	"1154 (BC_2, *, controlr, 1)," &
	"1155 (BC_2, IO_AC23, output3, X, 1154, 1, PULL0)," & --  PAD199
	"1156 (BC_2, IO_AC23, input, X)," & --  PAD199
	"1157 (BC_2, *, controlr, 1)," &
	"1158 (BC_2, IO_AM31, output3, X, 1157, 1, PULL0)," & --  PAD198
	"1159 (BC_2, IO_AM31, input, X)," & --  PAD198
	"1160 (BC_2, *, controlr, 1)," &
	"1161 (BC_2, IO_AM32, output3, X, 1160, 1, PULL0)," & --  PAD197
	"1162 (BC_2, IO_AM32, input, X)," & --  PAD197
	"1163 (BC_2, *, controlr, 1)," &
	"1164 (BC_2, IO_AL18, output3, X, 1163, 1, PULL0)," & --  PAD196
	"1165 (BC_2, IO_AL18, input, X)," & --  PAD196
	"1166 (BC_2, *, controlr, 1)," &
	"1167 (BC_2, IO_AL19, output3, X, 1166, 1, PULL0)," & --  PAD195
	"1168 (BC_2, IO_AL19, input, X)," & --  PAD195
	"1169 (BC_2, *, controlr, 1)," &
	"1170 (BC_2, IO_AK31, output3, X, 1169, 1, PULL0)," & --  PAD194
	"1171 (BC_2, IO_AK31, input, X)," & --  PAD194
	"1172 (BC_2, *, controlr, 1)," &
	"1173 (BC_2, IO_AK32, output3, X, 1172, 1, PULL0)," & --  PAD193
	"1174 (BC_2, IO_AK32, input, X)," & --  PAD193
	"1175 (BC_2, *, controlr, 1)," &
	"1176 (BC_2, IO_AJ30, output3, X, 1175, 1, PULL0)," & --  PAD192
	"1177 (BC_2, IO_AJ30, input, X)," & --  PAD192
	"1178 (BC_2, *, controlr, 1)," &
	"1179 (BC_2, IO_AH30, output3, X, 1178, 1, PULL0)," & --  PAD191
	"1180 (BC_2, IO_AH30, input, X)," & --  PAD191
	"1181 (BC_2, *, controlr, 1)," &
	"1182 (BC_2, IO_AG30, output3, X, 1181, 1, PULL0)," & --  PAD190
	"1183 (BC_2, IO_AG30, input, X)," & --  PAD190
	"1184 (BC_2, *, controlr, 1)," &
	"1185 (BC_2, IO_AG31, output3, X, 1184, 1, PULL0)," & --  PAD189
	"1186 (BC_2, IO_AG31, input, X)," & --  PAD189
	"1187 (BC_2, *, controlr, 1)," &
	"1188 (BC_2, IO_AJ31, output3, X, 1187, 1, PULL0)," & --  PAD188
	"1189 (BC_2, IO_AJ31, input, X)," & --  PAD188
	"1190 (BC_2, *, controlr, 1)," &
	"1191 (BC_2, IO_AJ32, output3, X, 1190, 1, PULL0)," & --  PAD187
	"1192 (BC_2, IO_AJ32, input, X)," & --  PAD187
	"1193 (BC_2, *, controlr, 1)," &
	"1194 (BC_2, IO_AF29, output3, X, 1193, 1, PULL0)," & --  PAD186
	"1195 (BC_2, IO_AF29, input, X)," & --  PAD186
	"1196 (BC_2, *, controlr, 1)," &
	"1197 (BC_2, IO_AE29, output3, X, 1196, 1, PULL0)," & --  PAD185
	"1198 (BC_2, IO_AE29, input, X)," & --  PAD185
	"1199 (BC_2, *, controlr, 1)," &
	"1200 (BC_2, IO_AD25, output3, X, 1199, 1, PULL0)," & --  PAD184
	"1201 (BC_2, IO_AD25, input, X)," & --  PAD184
	"1202 (BC_2, *, controlr, 1)," &
	"1203 (BC_2, IO_AC25, output3, X, 1202, 1, PULL0)," & --  PAD183
	"1204 (BC_2, IO_AC25, input, X)," & --  PAD183
	"1205 (BC_2, *, controlr, 1)," &
	"1206 (BC_2, IO_AF30, output3, X, 1205, 1, PULL0)," & --  PAD182
	"1207 (BC_2, IO_AF30, input, X)," & --  PAD182
	"1208 (BC_2, *, controlr, 1)," &
	"1209 (BC_2, IO_AF31, output3, X, 1208, 1, PULL0)," & --  PAD181
	"1210 (BC_2, IO_AF31, input, X)," & --  PAD181
	"1211 (BC_2, *, controlr, 1)," &
	"1212 (BC_2, IO_AD26, output3, X, 1211, 1, PULL0)," & --  PAD180
	"1213 (BC_2, IO_AD26, input, X)," & --  PAD180
	"1214 (BC_2, *, controlr, 1)," &
	"1215 (BC_2, IO_AD27, output3, X, 1214, 1, PULL0)," & --  PAD179
	"1216 (BC_2, IO_AD27, input, X)," & --  PAD179
	"1217 (BC_2, *, controlr, 1)," &
	"1218 (BC_2, IO_AE31, output3, X, 1217, 1, PULL0)," & --  PAD178
	"1219 (BC_2, IO_AE31, input, X)," & --  PAD178
	"1220 (BC_2, *, controlr, 1)," &
	"1221 (BC_2, IO_AE32, output3, X, 1220, 1, PULL0)," & --  PAD177
	"1222 (BC_2, IO_AE32, input, X)," & --  PAD177
	"1223 (BC_2, *, controlr, 1)," &
	"1224 (BC_2, IO_AH32, output3, X, 1223, 1, PULL0)," & --  PAD176
	"1225 (BC_2, IO_AH32, input, X)," & --  PAD176
	"1226 (BC_2, *, controlr, 1)," &
	"1227 (BC_2, IO_AG32, output3, X, 1226, 1, PULL0)," & --  PAD175
	"1228 (BC_2, IO_AG32, input, X)," & --  PAD175
	"1229 (BC_2, *, controlr, 1)," &
	"1230 (BC_2, IO_AC27, output3, X, 1229, 1, PULL0)," & --  PAD174
	"1231 (BC_2, IO_AC27, input, X)," & --  PAD174
	"1232 (BC_2, *, controlr, 1)," &
	"1233 (BC_2, IO_AC28, output3, X, 1232, 1, PULL0)," & --  PAD173
	"1234 (BC_2, IO_AC28, input, X)," & --  PAD173
	"1235 (BC_2, *, controlr, 1)," &
	"1236 (BC_2, IO_AD29, output3, X, 1235, 1, PULL0)," & --  PAD172
	"1237 (BC_2, IO_AD29, input, X)," & --  PAD172
	"1238 (BC_2, *, controlr, 1)," &
	"1239 (BC_2, IO_AD30, output3, X, 1238, 1, PULL0)," & --  PAD171
	"1240 (BC_2, IO_AD30, input, X)," & --  PAD171
	"1241 (BC_2, *, controlr, 1)," &
	"1242 (BC_2, IO_AD31, output3, X, 1241, 1, PULL0)," & --  PAD170
	"1243 (BC_2, IO_AD31, input, X)," & --  PAD170
	"1244 (BC_2, *, controlr, 1)," &
	"1245 (BC_2, IO_AD32, output3, X, 1244, 1, PULL0)," & --  PAD169
	"1246 (BC_2, IO_AD32, input, X)," & --  PAD169
	"1247 (BC_2, *, controlr, 1)," &
	"1248 (BC_2, IO_AB25, output3, X, 1247, 1, PULL0)," & --  PAD168
	"1249 (BC_2, IO_AB25, input, X)," & --  PAD168
	"1250 (BC_2, *, controlr, 1)," &
	"1251 (BC_2, IO_AB26, output3, X, 1250, 1, PULL0)," & --  PAD167
	"1252 (BC_2, IO_AB26, input, X)," & --  PAD167
	"1253 (BC_2, *, controlr, 1)," &
	"1254 (BC_2, IO_AB27, output3, X, 1253, 1, PULL0)," & --  PAD166
	"1255 (BC_2, IO_AB27, input, X)," & --  PAD166
	"1256 (BC_2, *, controlr, 1)," &
	"1257 (BC_2, IO_AB28, output3, X, 1256, 1, PULL0)," & --  PAD165
	"1258 (BC_2, IO_AB28, input, X)," & --  PAD165
	"1259 (BC_2, *, controlr, 1)," &
	"1260 (BC_2, IO_AC29, output3, X, 1259, 1, PULL0)," & --  PAD164
	"1261 (BC_2, IO_AC29, input, X)," & --  PAD164
	"1262 (BC_2, *, controlr, 1)," &
	"1263 (BC_2, IO_AC30, output3, X, 1262, 1, PULL0)," & --  PAD163
	"1264 (BC_2, IO_AC30, input, X)," & --  PAD163
	"1265 (BC_2, *, controlr, 1)," &
	"1266 (BC_2, IO_AA25, output3, X, 1265, 1, PULL0)," & --  PAD162
	"1267 (BC_2, IO_AA25, input, X)," & --  PAD162
	"1268 (BC_2, *, controlr, 1)," &
	"1269 (BC_2, IO_AA26, output3, X, 1268, 1, PULL0)," & --  PAD161
	"1270 (BC_2, IO_AA26, input, X)," & --  PAD161
	"1271 (BC_2, *, controlr, 1)," &
	"1272 (BC_2, IO_AB30, output3, X, 1271, 1, PULL0)," & --  PAD160
	"1273 (BC_2, IO_AB30, input, X)," & --  PAD160
	"1274 (BC_2, *, controlr, 1)," &
	"1275 (BC_2, IO_AB31, output3, X, 1274, 1, PULL0)," & --  PAD159
	"1276 (BC_2, IO_AB31, input, X)," & --  PAD159
	"1277 (BC_2, *, controlr, 1)," &
	"1278 (BC_2, IO_AA28, output3, X, 1277, 1, PULL0)," & --  PAD158
	"1279 (BC_2, IO_AA28, input, X)," & --  PAD158
	"1280 (BC_2, *, controlr, 1)," &
	"1281 (BC_2, IO_AA29, output3, X, 1280, 1, PULL0)," & --  PAD157
	"1282 (BC_2, IO_AA29, input, X)," & --  PAD157
	"1283 (BC_2, *, controlr, 1)," &
	"1284 (BC_2, IO_AC32, output3, X, 1283, 1, PULL0)," & --  PAD156
	"1285 (BC_2, IO_AC32, input, X)," & --  PAD156
	"1286 (BC_2, *, controlr, 1)," &
	"1287 (BC_2, IO_AB32, output3, X, 1286, 1, PULL0)," & --  PAD155
	"1288 (BC_2, IO_AB32, input, X)," & --  PAD155
	"1289 (BC_2, *, controlr, 1)," &
	"1290 (BC_2, IO_AA30, output3, X, 1289, 1, PULL0)," & --  PAD154
	"1291 (BC_2, IO_AA30, input, X)," & --  PAD154
	"1292 (BC_2, *, controlr, 1)," &
	"1293 (BC_2, IO_AA31, output3, X, 1292, 1, PULL0)," & --  PAD153
	"1294 (BC_2, IO_AA31, input, X)," & --  PAD153
	"1295 (BC_2, *, controlr, 1)," &
	"1296 (BC_2, IO_AA24, output3, X, 1295, 1, PULL0)," & --  PAD152
	"1297 (BC_2, IO_AA24, input, X)," & --  PAD152
	"1298 (BC_2, *, controlr, 1)," &
	"1299 (BC_2, IO_Y24, output3, X, 1298, 1, PULL0)," & --  PAD151
	"1300 (BC_2, IO_Y24, input, X)," & --  PAD151
	"1301 (BC_2, *, controlr, 1)," &
	"1302 (BC_2, IO_Y27, output3, X, 1301, 1, PULL0)," & --  PAD150
	"1303 (BC_2, IO_Y27, input, X)," & --  PAD150
	"1304 (BC_2, *, controlr, 1)," &
	"1305 (BC_2, IO_Y28, output3, X, 1304, 1, PULL0)," & --  PAD149
	"1306 (BC_2, IO_Y28, input, X)," & --  PAD149
	"1307 (BC_2, *, controlr, 1)," &
	"1308 (BC_2, IO_Y31, output3, X, 1307, 1, PULL0)," & --  PAD148
	"1309 (BC_2, IO_Y31, input, X)," & --  PAD148
	"1310 (BC_2, *, controlr, 1)," &
	"1311 (BC_2, IO_Y32, output3, X, 1310, 1, PULL0)," & --  PAD147
	"1312 (BC_2, IO_Y32, input, X)," & --  PAD147
	"1313 (BC_2, *, controlr, 1)," &
	"1314 (BC_2, IO_W30, output3, X, 1313, 1, PULL0)," & --  PAD146
	"1315 (BC_2, IO_W30, input, X)," & --  PAD146
	"1316 (BC_2, *, controlr, 1)," &
	"1317 (BC_2, IO_W31, output3, X, 1316, 1, PULL0)," & --  PAD145
	"1318 (BC_2, IO_W31, input, X)," & --  PAD145
	"1319 (BC_2, *, controlr, 1)," &
	"1320 (BC_2, IO_V27, output3, X, 1319, 1, PULL0)," & --  PAD144
	"1321 (BC_2, IO_V27, input, X)," & --  PAD144
	"1322 (BC_2, *, controlr, 1)," &
	"1323 (BC_2, IO_W27, output3, X, 1322, 1, PULL0)," & --  PAD143
	"1324 (BC_2, IO_W27, input, X)," & --  PAD143
	"1325 (BC_2, *, controlr, 1)," &
	"1326 (BC_2, IO_W29, output3, X, 1325, 1, PULL0)," & --  PAD142
	"1327 (BC_2, IO_W29, input, X)," & --  PAD142
	"1328 (BC_2, *, controlr, 1)," &
	"1329 (BC_2, IO_Y29, output3, X, 1328, 1, PULL0)," & --  PAD141
	"1330 (BC_2, IO_Y29, input, X)," & --  PAD141
	"1331 (BC_2, *, controlr, 1)," &
	"1332 (BC_2, IO_Y26, output3, X, 1331, 1, PULL0)," & --  PAD140
	"1333 (BC_2, IO_Y26, input, X)," & --  PAD140
	"1334 (BC_2, *, controlr, 1)," &
	"1335 (BC_2, IO_W26, output3, X, 1334, 1, PULL0)," & --  PAD139
	"1336 (BC_2, IO_W26, input, X)," & --  PAD139
	"1337 (BC_2, *, controlr, 1)," &
	"1338 (BC_2, IO_V32, output3, X, 1337, 1, PULL0)," & --  PAD138
	"1339 (BC_2, IO_V32, input, X)," & --  PAD138
	"1340 (BC_2, *, controlr, 1)," &
	"1341 (BC_2, IO_W32, output3, X, 1340, 1, PULL0)," & --  PAD137
	"1342 (BC_2, IO_W32, input, X)," & --  PAD137
	"1343 (BC_2, *, controlr, 1)," &
	"1344 (BC_2, IO_W24, output3, X, 1343, 1, PULL0)," & --  PAD136
	"1345 (BC_2, IO_W24, input, X)," & --  PAD136
	"1346 (BC_2, *, controlr, 1)," &
	"1347 (BC_2, IO_W25, output3, X, 1346, 1, PULL0)," & --  PAD135
	"1348 (BC_2, IO_W25, input, X)," & --  PAD135
	"1349 (BC_2, *, controlr, 1)," &
	"1350 (BC_2, IO_U30, output3, X, 1349, 1, PULL0)," & --  PAD134
	"1351 (BC_2, IO_U30, input, X)," & --  PAD134
	"1352 (BC_2, *, controlr, 1)," &
	"1353 (BC_2, IO_V30, output3, X, 1352, 1, PULL0)," & --  PAD133
	"1354 (BC_2, IO_V30, input, X)," & --  PAD133
	"1355 (BC_2, *, controlr, 1)," &
	"1356 (BC_2, IO_U31, output3, X, 1355, 1, PULL0)," & --  PAD132
	"1357 (BC_2, IO_U31, input, X)," & --  PAD132
	"1358 (BC_2, *, controlr, 1)," &
	"1359 (BC_2, IO_U32, output3, X, 1358, 1, PULL0)," & --  PAD131
	"1360 (BC_2, IO_U32, input, X)," & --  PAD131
	"1361 (BC_2, *, controlr, 1)," &
	"1362 (BC_2, IO_V28, output3, X, 1361, 1, PULL0)," & --  PAD130
	"1363 (BC_2, IO_V28, input, X)," & --  PAD130
	"1364 (BC_2, *, controlr, 1)," &
	"1365 (BC_2, IO_V29, output3, X, 1364, 1, PULL0)," & --  PAD129
	"1366 (BC_2, IO_V29, input, X)," & --  PAD129
	"1367 (BC_2, *, controlr, 1)," &
	"1368 (BC_2, IO_U27, output3, X, 1367, 1, PULL0)," & --  PAD128
	"1369 (BC_2, IO_U27, input, X)," & --  PAD128
	"1370 (BC_2, *, controlr, 1)," &
	"1371 (BC_2, IO_U28, output3, X, 1370, 1, PULL0)," & --  PAD127
	"1372 (BC_2, IO_U28, input, X)," & --  PAD127
	"1373 (BC_2, *, controlr, 1)," &
	"1374 (BC_2, IO_R26, output3, X, 1373, 1, PULL0)," & --  PAD126
	"1375 (BC_2, IO_R26, input, X)," & --  PAD126
	"1376 (BC_2, *, controlr, 1)," &
	"1377 (BC_2, IO_T26, output3, X, 1376, 1, PULL0)," & --  PAD125
	"1378 (BC_2, IO_T26, input, X)," & --  PAD125
	"1379 (BC_2, *, controlr, 1)," &
	"1380 (BC_2, IO_T28, output3, X, 1379, 1, PULL0)," & --  PAD124
	"1381 (BC_2, IO_T28, input, X)," & --  PAD124
	"1382 (BC_2, *, controlr, 1)," &
	"1383 (BC_2, IO_T29, output3, X, 1382, 1, PULL0)," & --  PAD123
	"1384 (BC_2, IO_T29, input, X)," & --  PAD123
	"1385 (BC_2, *, controlr, 1)," &
	"1386 (BC_2, IO_T30, output3, X, 1385, 1, PULL0)," & --  PAD122
	"1387 (BC_2, IO_T30, input, X)," & --  PAD122
	"1388 (BC_2, *, controlr, 1)," &
	"1389 (BC_2, IO_T31, output3, X, 1388, 1, PULL0)," & --  PAD121
	"1390 (BC_2, IO_T31, input, X)," & --  PAD121
	"1391 (BC_2, *, controlr, 1)," &
	"1392 (BC_2, IO_R27, output3, X, 1391, 1, PULL0)," & --  PAD120
	"1393 (BC_2, IO_R27, input, X)," & --  PAD120
	"1394 (BC_2, *, controlr, 1)," &
	"1395 (BC_2, IO_R28, output3, X, 1394, 1, PULL0)," & --  PAD119
	"1396 (BC_2, IO_R28, input, X)," & --  PAD119
	"1397 (BC_2, *, controlr, 1)," &
	"1398 (BC_2, IO_P29, output3, X, 1397, 1, PULL0)," & --  PAD118
	"1399 (BC_2, IO_P29, input, X)," & --  PAD118
	"1400 (BC_2, *, controlr, 1)," &
	"1401 (BC_2, IO_R29, output3, X, 1400, 1, PULL0)," & --  PAD117
	"1402 (BC_2, IO_R29, input, X)," & --  PAD117
	"1403 (BC_2, *, controlr, 1)," &
	"1404 (BC_2, IO_R31, output3, X, 1403, 1, PULL0)," & --  PAD116
	"1405 (BC_2, IO_R31, input, X)," & --  PAD116
	"1406 (BC_2, *, controlr, 1)," &
	"1407 (BC_2, IO_R32, output3, X, 1406, 1, PULL0)," & --  PAD115
	"1408 (BC_2, IO_R32, input, X)," & --  PAD115
	"1409 (BC_2, *, controlr, 1)," &
	"1410 (BC_2, IO_N32, output3, X, 1409, 1, PULL0)," & --  PAD114
	"1411 (BC_2, IO_N32, input, X)," & --  PAD114
	"1412 (BC_2, *, controlr, 1)," &
	"1413 (BC_2, IO_P32, output3, X, 1412, 1, PULL0)," & --  PAD113
	"1414 (BC_2, IO_P32, input, X)," & --  PAD113
	"1415 (BC_2, *, controlr, 1)," &
	"1416 (BC_2, IO_P26, output3, X, 1415, 1, PULL0)," & --  PAD112
	"1417 (BC_2, IO_P26, input, X)," & --  PAD112
	"1418 (BC_2, *, controlr, 1)," &
	"1419 (BC_2, IO_P27, output3, X, 1418, 1, PULL0)," & --  PAD111
	"1420 (BC_2, IO_P27, input, X)," & --  PAD111
	"1421 (BC_2, *, controlr, 1)," &
	"1422 (BC_2, IO_P30, output3, X, 1421, 1, PULL0)," & --  PAD110
	"1423 (BC_2, IO_P30, input, X)," & --  PAD110
	"1424 (BC_2, *, controlr, 1)," &
	"1425 (BC_2, IO_P31, output3, X, 1424, 1, PULL0)," & --  PAD109
	"1426 (BC_2, IO_P31, input, X)," & --  PAD109
	"1427 (BC_2, *, controlr, 1)," &
	"1428 (BC_2, IO_M31, output3, X, 1427, 1, PULL0)," & --  PAD108
	"1429 (BC_2, IO_M31, input, X)," & --  PAD108
	"1430 (BC_2, *, controlr, 1)," &
	"1431 (BC_2, IO_M32, output3, X, 1430, 1, PULL0)," & --  PAD107
	"1432 (BC_2, IO_M32, input, X)," & --  PAD107
	"1433 (BC_2, *, controlr, 1)," &
	"1434 (BC_2, IO_M30, output3, X, 1433, 1, PULL0)," & --  PAD106
	"1435 (BC_2, IO_M30, input, X)," & --  PAD106
	"1436 (BC_2, *, controlr, 1)," &
	"1437 (BC_2, IO_N30, output3, X, 1436, 1, PULL0)," & --  PAD105
	"1438 (BC_2, IO_N30, input, X)," & --  PAD105
	"1439 (BC_2, *, controlr, 1)," &
	"1440 (BC_2, IO_M28, output3, X, 1439, 1, PULL0)," & --  PAD104
	"1441 (BC_2, IO_M28, input, X)," & --  PAD104
	"1442 (BC_2, *, controlr, 1)," &
	"1443 (BC_2, IO_N27, output3, X, 1442, 1, PULL0)," & --  PAD103
	"1444 (BC_2, IO_N27, input, X)," & --  PAD103
	"1445 (BC_2, *, controlr, 1)," &
	"1446 (BC_2, IO_N28, output3, X, 1445, 1, PULL0)," & --  PAD102
	"1447 (BC_2, IO_N28, input, X)," & --  PAD102
	"1448 (BC_2, *, controlr, 1)," &
	"1449 (BC_2, IO_N29, output3, X, 1448, 1, PULL0)," & --  PAD101
	"1450 (BC_2, IO_N29, input, X)," & --  PAD101
	"1451 (BC_2, *, controlr, 1)," &
	"1452 (BC_2, IO_H32, output3, X, 1451, 1, PULL0)," & --  PAD100
	"1453 (BC_2, IO_H32, input, X)," & --  PAD100
	"1454 (BC_2, *, controlr, 1)," &
	"1455 (BC_2, IO_J32, output3, X, 1454, 1, PULL0)," & --  PAD99
	"1456 (BC_2, IO_J32, input, X)," & --  PAD99
	"1457 (BC_2, *, controlr, 1)," &
	"1458 (BC_2, IO_L30, output3, X, 1457, 1, PULL0)," & --  PAD98
	"1459 (BC_2, IO_L30, input, X)," & --  PAD98
	"1460 (BC_2, *, controlr, 1)," &
	"1461 (BC_2, IO_L31, output3, X, 1460, 1, PULL0)," & --  PAD97
	"1462 (BC_2, IO_L31, input, X)," & --  PAD97
	"1463 (BC_2, *, controlr, 1)," &
	"1464 (BC_2, IO_M25, output3, X, 1463, 1, PULL0)," & --  PAD96
	"1465 (BC_2, IO_M25, input, X)," & --  PAD96
	"1466 (BC_2, *, controlr, 1)," &
	"1467 (BC_2, IO_M26, output3, X, 1466, 1, PULL0)," & --  PAD95
	"1468 (BC_2, IO_M26, input, X)," & --  PAD95
	"1469 (BC_2, *, controlr, 1)," &
	"1470 (BC_2, IO_K31, output3, X, 1469, 1, PULL0)," & --  PAD94
	"1471 (BC_2, IO_K31, input, X)," & --  PAD94
	"1472 (BC_2, *, controlr, 1)," &
	"1473 (BC_2, IO_K32, output3, X, 1472, 1, PULL0)," & --  PAD93
	"1474 (BC_2, IO_K32, input, X)," & --  PAD93
	"1475 (BC_2, *, controlr, 1)," &
	"1476 (BC_2, IO_H29, output3, X, 1475, 1, PULL0)," & --  PAD92
	"1477 (BC_2, IO_H29, input, X)," & --  PAD92
	"1478 (BC_2, *, controlr, 1)," &
	"1479 (BC_2, IO_H30, output3, X, 1478, 1, PULL0)," & --  PAD91
	"1480 (BC_2, IO_H30, input, X)," & --  PAD91
	"1481 (BC_2, *, controlr, 1)," &
	"1482 (BC_2, IO_L28, output3, X, 1481, 1, PULL0)," & --  PAD90
	"1483 (BC_2, IO_L28, input, X)," & --  PAD90
	"1484 (BC_2, *, controlr, 1)," &
	"1485 (BC_2, IO_L29, output3, X, 1484, 1, PULL0)," & --  PAD89
	"1486 (BC_2, IO_L29, input, X)," & --  PAD89
	"1487 (BC_2, *, controlr, 1)," &
	"1488 (BC_2, IO_J27, output3, X, 1487, 1, PULL0)," & --  PAD88
	"1489 (BC_2, IO_J27, input, X)," & --  PAD88
	"1490 (BC_2, *, controlr, 1)," &
	"1491 (BC_2, IO_K28, output3, X, 1490, 1, PULL0)," & --  PAD87
	"1492 (BC_2, IO_K28, input, X)," & --  PAD87
	"1493 (BC_2, *, controlr, 1)," &
	"1494 (BC_2, IO_J30, output3, X, 1493, 1, PULL0)," & --  PAD86
	"1495 (BC_2, IO_J30, input, X)," & --  PAD86
	"1496 (BC_2, *, controlr, 1)," &
	"1497 (BC_2, IO_J31, output3, X, 1496, 1, PULL0)," & --  PAD85
	"1498 (BC_2, IO_J31, input, X)," & --  PAD85
	"1499 (BC_2, *, controlr, 1)," &
	"1500 (BC_2, IO_C32, output3, X, 1499, 1, PULL0)," & --  PAD84
	"1501 (BC_2, IO_C32, input, X)," & --  PAD84
	"1502 (BC_2, *, controlr, 1)," &
	"1503 (BC_2, IO_D32, output3, X, 1502, 1, PULL0)," & --  PAD83
	"1504 (BC_2, IO_D32, input, X)," & --  PAD83
	"1505 (BC_2, *, controlr, 1)," &
	"1506 (BC_2, IO_J29, output3, X, 1505, 1, PULL0)," & --  PAD82
	"1507 (BC_2, IO_J29, input, X)," & --  PAD82
	"1508 (BC_2, *, controlr, 1)," &
	"1509 (BC_2, IO_K29, output3, X, 1508, 1, PULL0)," & --  PAD81
	"1510 (BC_2, IO_K29, input, X)," & --  PAD81
	"1511 (BC_2, *, controlr, 1)," &
	"1512 (BC_2, IO_E29, output3, X, 1511, 1, PULL0)," & --  PAD80
	"1513 (BC_2, IO_E29, input, X)," & --  PAD80
	"1514 (BC_2, *, controlr, 1)," &
	"1515 (BC_2, IO_F29, output3, X, 1514, 1, PULL0)," & --  PAD79
	"1516 (BC_2, IO_F29, input, X)," & --  PAD79
	"1517 (BC_2, *, controlr, 1)," &
	"1518 (BC_2, IO_G31, output3, X, 1517, 1, PULL0)," & --  PAD78
	"1519 (BC_2, IO_G31, input, X)," & --  PAD78
	"1520 (BC_2, *, controlr, 1)," &
	"1521 (BC_2, IO_G32, output3, X, 1520, 1, PULL0)," & --  PAD77
	"1522 (BC_2, IO_G32, input, X)," & --  PAD77
	"1523 (BC_2, *, controlr, 1)," &
	"1524 (BC_2, IO_C30, output3, X, 1523, 1, PULL0)," & --  PAD76
	"1525 (BC_2, IO_C30, input, X)," & --  PAD76
	"1526 (BC_2, *, controlr, 1)," &
	"1527 (BC_2, IO_D30, output3, X, 1526, 1, PULL0)," & --  PAD75
	"1528 (BC_2, IO_D30, input, X)," & --  PAD75
	"1529 (BC_2, *, controlr, 1)," &
	"1530 (BC_2, IO_F30, output3, X, 1529, 1, PULL0)," & --  PAD74
	"1531 (BC_2, IO_F30, input, X)," & --  PAD74
	"1532 (BC_2, *, controlr, 1)," &
	"1533 (BC_2, IO_G30, output3, X, 1532, 1, PULL0)," & --  PAD73
	"1534 (BC_2, IO_G30, input, X)," & --  PAD73
	"1535 (BC_2, *, controlr, 1)," &
	"1536 (BC_2, IO_H27, output3, X, 1535, 1, PULL0)," & --  PAD72
	"1537 (BC_2, IO_H27, input, X)," & --  PAD72
	"1538 (BC_2, *, controlr, 1)," &
	"1539 (BC_2, IO_H28, output3, X, 1538, 1, PULL0)," & --  PAD71
	"1540 (BC_2, IO_H28, input, X)," & --  PAD71
	"1541 (BC_2, *, controlr, 1)," &
	"1542 (BC_2, IO_F31, output3, X, 1541, 1, PULL0)," & --  PAD70
	"1543 (BC_2, IO_F31, input, X)," & --  PAD70
	"1544 (BC_2, *, controlr, 1)," &
	"1545 (BC_2, IO_E32, output3, X, 1544, 1, PULL0)," & --  PAD69
	"1546 (BC_2, IO_E32, input, X)," & --  PAD69
	"1547 (BC_2, *, controlr, 1)," &
	"1548 (BC_2, IO_C29, output3, X, 1547, 1, PULL0)," & --  PAD68
	"1549 (BC_2, IO_C29, input, X)," & --  PAD68
	"1550 (BC_2, *, controlr, 1)," &
	"1551 (BC_2, IO_D29, output3, X, 1550, 1, PULL0)," & --  PAD67
	"1552 (BC_2, IO_D29, input, X)," & --  PAD67
	"1553 (BC_2, *, controlr, 1)," &
	"1554 (BC_2, IO_D31, output3, X, 1553, 1, PULL0)," & --  PAD66
	"1555 (BC_2, IO_D31, input, X)," & --  PAD66
	"1556 (BC_2, *, controlr, 1)," &
	"1557 (BC_2, IO_E31, output3, X, 1556, 1, PULL0)," & --  PAD65
	"1558 (BC_2, IO_E31, input, X)," & --  PAD65
	"1559 (BC_2, *, controlr, 1)," &
	"1560 (BC_2, IO_M23, output3, X, 1559, 1, PULL0)," & --  PAD64
	"1561 (BC_2, IO_M23, input, X)," & --  PAD64
	"1562 (BC_2, *, controlr, 1)," &
	"1563 (BC_2, IO_N23, output3, X, 1562, 1, PULL0)," & --  PAD63
	"1564 (BC_2, IO_N23, input, X)," & --  PAD63
	"1565 (BC_2, *, controlr, 1)," &
	"1566 (BC_2, IO_N24, output3, X, 1565, 1, PULL0)," & --  PAD62
	"1567 (BC_2, IO_N24, input, X)," & --  PAD62
	"1568 (BC_2, *, controlr, 1)," &
	"1569 (BC_2, IO_P24, output3, X, 1568, 1, PULL0)," & --  PAD61
	"1570 (BC_2, IO_P24, input, X)," & --  PAD61
	"1571 (BC_2, *, controlr, 1)," &
	"1572 (BC_2, IO_N22, output3, X, 1571, 1, PULL0)," & --  PAD60
	"1573 (BC_2, IO_N22, input, X)," & --  PAD60
	"1574 (BC_2, *, controlr, 1)," &
	"1575 (BC_2, IO_P22, output3, X, 1574, 1, PULL0)," & --  PAD59
	"1576 (BC_2, IO_P22, input, X)," & --  PAD59
	"1577 (BC_2, *, controlr, 1)," &
	"1578 (BC_2, IO_L25, output3, X, 1577, 1, PULL0)," & --  PAD58
	"1579 (BC_2, IO_L25, input, X)," & --  PAD58
	"1580 (BC_2, *, controlr, 1)," &
	"1581 (BC_2, IO_L26, output3, X, 1580, 1, PULL0)," & --  PAD57
	"1582 (BC_2, IO_L26, input, X)," & --  PAD57
	"1583 (BC_2, *, controlr, 1)," &
	"1584 (BC_2, IO_M22, output3, X, 1583, 1, PULL0)," & --  PAD56
	"1585 (BC_2, IO_M22, input, X)," & --  PAD56
	"1586 (BC_2, *, controlr, 1)," &
	"1587 (BC_2, IO_L21, output3, X, 1586, 1, PULL0)," & --  PAD55
	"1588 (BC_2, IO_L21, input, X)," & --  PAD55
	"1589 (BC_2, *, controlr, 1)," &
	"1590 (BC_2, IO_L24, output3, X, 1589, 1, PULL0)," & --  PAD54
	"1591 (BC_2, IO_L24, input, X)," & --  PAD54
	"1592 (BC_2, *, controlr, 1)," &
	"1593 (BC_2, IO_K24, output3, X, 1592, 1, PULL0)," & --  PAD53
	"1594 (BC_2, IO_K24, input, X)," & --  PAD53
	"1595 (BC_2, *, controlr, 1)," &
	"1596 (BC_2, IO_F19, output3, X, 1595, 1, PULL0)," & --  PAD52
	"1597 (BC_2, IO_F19, input, X)," & --  PAD52
	"1598 (BC_2, *, controlr, 1)," &
	"1599 (BC_2, IO_E19, output3, X, 1598, 1, PULL0)," & --  PAD51
	"1600 (BC_2, IO_E19, input, X)," & --  PAD51
	"1601 (BC_2, *, controlr, 1)," &
	"1602 (BC_2, IO_E28, output3, X, 1601, 1, PULL0)," & --  PAD50
	"1603 (BC_2, IO_E28, input, X)," & --  PAD50
	"1604 (BC_2, *, controlr, 1)," &
	"1605 (BC_2, IO_F28, output3, X, 1604, 1, PULL0)," & --  PAD49
	"1606 (BC_2, IO_F28, input, X)," & --  PAD49
	"1607 (BC_2, *, controlr, 1)," &
	"1608 (BC_2, IO_G20, output3, X, 1607, 1, PULL0)," & --  PAD48
	"1609 (BC_2, IO_G20, input, X)," & --  PAD48
	"1610 (BC_2, *, controlr, 1)," &
	"1611 (BC_2, IO_F20, output3, X, 1610, 1, PULL0)," & --  PAD47
	"1612 (BC_2, IO_F20, input, X)," & --  PAD47
	"1613 (BC_2, *, controlr, 1)," &
	"1614 (BC_2, IO_G27, output3, X, 1613, 1, PULL0)," & --  PAD46
	"1615 (BC_2, IO_G27, input, X)," & --  PAD46
	"1616 (BC_2, *, controlr, 1)," &
	"1617 (BC_2, IO_G28, output3, X, 1616, 1, PULL0)," & --  PAD45
	"1618 (BC_2, IO_G28, input, X)," & --  PAD45
	"1619 (BC_2, *, controlr, 1)," &
	"1620 (BC_2, IO_J20, output3, X, 1619, 1, PULL0)," & --  PAD44
	"1621 (BC_2, IO_J20, input, X)," & --  PAD44
	"1622 (BC_2, *, controlr, 1)," &
	"1623 (BC_2, IO_H20, output3, X, 1622, 1, PULL0)," & --  PAD43
	"1624 (BC_2, IO_H20, input, X)," & --  PAD43
	"1625 (BC_2, *, controlr, 1)," &
	"1626 (BC_2, IO_C27, output3, X, 1625, 1, PULL0)," & --  PAD42
	"1627 (BC_2, IO_C27, input, X)," & --  PAD42
	"1628 (BC_2, *, controlr, 1)," &
	"1629 (BC_2, IO_C28, output3, X, 1628, 1, PULL0)," & --  PAD41
	"1630 (BC_2, IO_C28, input, X)," & --  PAD41
	"1631 (BC_2, *, controlr, 1)," &
	"1632 (BC_2, IO_L23, output3, X, 1631, 1, PULL0)," & --  PAD40
	"1633 (BC_2, IO_L23, input, X)," & --  PAD40
	"1634 (BC_2, *, controlr, 1)," &
	"1635 (BC_2, IO_K23, output3, X, 1634, 1, PULL0)," & --  PAD39
	"1636 (BC_2, IO_K23, input, X)," & --  PAD39
	"1637 (BC_2, *, controlr, 1)," &
	"1638 (BC_2, IO_D27, output3, X, 1637, 1, PULL0)," & --  PAD38
	"1639 (BC_2, IO_D27, input, X)," & --  PAD38
	"1640 (BC_2, *, controlr, 1)," &
	"1641 (BC_2, IO_E27, output3, X, 1640, 1, PULL0)," & --  PAD37
	"1642 (BC_2, IO_E27, input, X)," & --  PAD37
	"1643 (BC_2, *, controlr, 1)," &
	"1644 (BC_2, IO_E21, output3, X, 1643, 1, PULL0)," & --  PAD36
	"1645 (BC_2, IO_E21, input, X)," & --  PAD36
	"1646 (BC_2, *, controlr, 1)," &
	"1647 (BC_2, IO_D21, output3, X, 1646, 1, PULL0)," & --  PAD35
	"1648 (BC_2, IO_D21, input, X)," & --  PAD35
	"1649 (BC_2, *, controlr, 1)," &
	"1650 (BC_2, IO_J26, output3, X, 1649, 1, PULL0)," & --  PAD34
	"1651 (BC_2, IO_J26, input, X)," & --  PAD34
	"1652 (BC_2, *, controlr, 1)," &
	"1653 (BC_2, IO_K26, output3, X, 1652, 1, PULL0)," & --  PAD33
	"1654 (BC_2, IO_K26, input, X)," & --  PAD33
	"1655 (BC_2, *, controlr, 1)," &
	"1656 (BC_2, IO_G21, output3, X, 1655, 1, PULL0)," & --  PAD32
	"1657 (BC_2, IO_G21, input, X)," & --  PAD32
	"1658 (BC_2, *, controlr, 1)," &
	"1659 (BC_2, IO_F21, output3, X, 1658, 1, PULL0)," & --  PAD31
	"1660 (BC_2, IO_F21, input, X)," & --  PAD31
	"1661 (BC_2, *, controlr, 1)," &
	"1662 (BC_2, IO_D26, output3, X, 1661, 1, PULL0)," & --  PAD30
	"1663 (BC_2, IO_D26, input, X)," & --  PAD30
	"1664 (BC_2, *, controlr, 1)," &
	"1665 (BC_2, IO_E26, output3, X, 1664, 1, PULL0)," & --  PAD29
	"1666 (BC_2, IO_E26, input, X)," & --  PAD29
	"1667 (BC_2, *, controlr, 1)," &
	"1668 (BC_2, IO_K21, output3, X, 1667, 1, PULL0)," & --  PAD28
	"1669 (BC_2, IO_K21, input, X)," & --  PAD28
	"1670 (BC_2, *, controlr, 1)," &
	"1671 (BC_2, IO_J21, output3, X, 1670, 1, PULL0)," & --  PAD27
	"1672 (BC_2, IO_J21, input, X)," & --  PAD27
	"1673 (BC_2, *, controlr, 1)," &
	"1674 (BC_2, IO_F26, output3, X, 1673, 1, PULL0)," & --  PAD26
	"1675 (BC_2, IO_F26, input, X)," & --  PAD26
	"1676 (BC_2, *, controlr, 1)," &
	"1677 (BC_2, IO_G26, output3, X, 1676, 1, PULL0)," & --  PAD25
	"1678 (BC_2, IO_G26, input, X)," & --  PAD25
	"1679 (BC_2, *, controlr, 1)," &
	"1680 (BC_2, IO_K22, output3, X, 1679, 1, PULL0)," & --  PAD24
	"1681 (BC_2, IO_K22, input, X)," & --  PAD24
	"1682 (BC_2, *, controlr, 1)," &
	"1683 (BC_2, IO_J22, output3, X, 1682, 1, PULL0)," & --  PAD23
	"1684 (BC_2, IO_J22, input, X)," & --  PAD23
	"1685 (BC_2, *, controlr, 1)," &
	"1686 (BC_2, IO_C25, output3, X, 1685, 1, PULL0)," & --  PAD22
	"1687 (BC_2, IO_C25, input, X)," & --  PAD22
	"1688 (BC_2, *, controlr, 1)," &
	"1689 (BC_2, IO_D25, output3, X, 1688, 1, PULL0)," & --  PAD21
	"1690 (BC_2, IO_D25, input, X)," & --  PAD21
	"1691 (BC_2, *, controlr, 1)," &
	"1692 (BC_2, IO_E22, output3, X, 1691, 1, PULL0)," & --  PAD20
	"1693 (BC_2, IO_E22, input, X)," & --  PAD20
	"1694 (BC_2, *, controlr, 1)," &
	"1695 (BC_2, IO_D22, output3, X, 1694, 1, PULL0)," & --  PAD19
	"1696 (BC_2, IO_D22, input, X)," & --  PAD19
	"1697 (BC_2, *, controlr, 1)," &
	"1698 (BC_2, IO_F25, output3, X, 1697, 1, PULL0)," & --  PAD18
	"1699 (BC_2, IO_F25, input, X)," & --  PAD18
	"1700 (BC_2, *, controlr, 1)," &
	"1701 (BC_2, IO_G25, output3, X, 1700, 1, PULL0)," & --  PAD17
	"1702 (BC_2, IO_G25, input, X)," & --  PAD17
	"1703 (BC_2, *, controlr, 1)," &
	"1704 (BC_2, IO_H22, output3, X, 1703, 1, PULL0)," & --  PAD16
	"1705 (BC_2, IO_H22, input, X)," & --  PAD16
	"1706 (BC_2, *, controlr, 1)," &
	"1707 (BC_2, IO_G22, output3, X, 1706, 1, PULL0)," & --  PAD15
	"1708 (BC_2, IO_G22, input, X)," & --  PAD15
	"1709 (BC_2, *, controlr, 1)," &
	"1710 (BC_2, IO_H25, output3, X, 1709, 1, PULL0)," & --  PAD14
	"1711 (BC_2, IO_H25, input, X)," & --  PAD14
	"1712 (BC_2, *, controlr, 1)," &
	"1713 (BC_2, IO_J25, output3, X, 1712, 1, PULL0)," & --  PAD13
	"1714 (BC_2, IO_J25, input, X)," & --  PAD13
	"1715 (BC_2, *, controlr, 1)," &
	"1716 (BC_2, IO_C22, output3, X, 1715, 1, PULL0)," & --  PAD12
	"1717 (BC_2, IO_C22, input, X)," & --  PAD12
	"1718 (BC_2, *, controlr, 1)," &
	"1719 (BC_2, IO_C23, output3, X, 1718, 1, PULL0)," & --  PAD11
	"1720 (BC_2, IO_C23, input, X)," & --  PAD11
	"1721 (BC_2, *, controlr, 1)," &
	"1722 (BC_2, IO_D24, output3, X, 1721, 1, PULL0)," & --  PAD10
	"1723 (BC_2, IO_D24, input, X)," & --  PAD10
	"1724 (BC_2, *, controlr, 1)," &
	"1725 (BC_2, IO_C24, output3, X, 1724, 1, PULL0)," & --  PAD9
	"1726 (BC_2, IO_C24, input, X)," & --  PAD9
	"1727 (BC_2, *, controlr, 1)," &
	"1728 (BC_2, IO_H23, output3, X, 1727, 1, PULL0)," & --  PAD8
	"1729 (BC_2, IO_H23, input, X)," & --  PAD8
	"1730 (BC_2, *, controlr, 1)," &
	"1731 (BC_2, IO_G23, output3, X, 1730, 1, PULL0)," & --  PAD7
	"1732 (BC_2, IO_G23, input, X)," & --  PAD7
	"1733 (BC_2, *, controlr, 1)," &
	"1734 (BC_2, IO_F24, output3, X, 1733, 1, PULL0)," & --  PAD6
	"1735 (BC_2, IO_F24, input, X)," & --  PAD6
	"1736 (BC_2, *, controlr, 1)," &
	"1737 (BC_2, IO_E24, output3, X, 1736, 1, PULL0)," & --  PAD5
	"1738 (BC_2, IO_E24, input, X)," & --  PAD5
	"1739 (BC_2, *, controlr, 1)," &
	"1740 (BC_2, IO_F23, output3, X, 1739, 1, PULL0)," & --  PAD4
	"1741 (BC_2, IO_F23, input, X)," & --  PAD4
	"1742 (BC_2, *, controlr, 1)," &
	"1743 (BC_2, IO_E23, output3, X, 1742, 1, PULL0)," & --  PAD3
	"1744 (BC_2, IO_E23, input, X)," & --  PAD3
	"1745 (BC_2, *, controlr, 1)," &
	"1746 (BC_2, IO_J24, output3, X, 1745, 1, PULL0)," & --  PAD2
	"1747 (BC_2, IO_J24, input, X)," & --  PAD2
	"1748 (BC_2, *, controlr, 1)," &
	"1749 (BC_2, IO_H24, output3, X, 1748, 1, PULL0)," & --  PAD1
	"1750 (BC_2, IO_H24, input, X)"; --  PAD1

	
attribute DESIGN_WARNING of XQR4VFX60_CF1143 : entity is
        "This is a preliminary BSDL file which has not been verified." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "To avoid power-down, the boundary scan test vectors should keep" &
                "the PWRDWN_B pin high." &
        "To avoid losing the current configuration, the boundary scan" &
                "test vectors should keep the PROG_B pin" &
                "high.  If the PROG_B pin goes low by any means," &
                "the configuration will be cleared." &
 	"PROG_B and PWRDWN_B can be updated.  The boundary register cells marked" &
                "PROG_B and PWRDWN_B must be set to 1 for proper operation." &
        "The disable result of a 3-stated I/O in this file" &
                "correspond to HSWAP_EN being high.  When HSWAP_EN" &
                "is low, change all PULL0s to PULL1." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control value is not captured properly when" &
                "GTS is activated." &
	"For ES silicon, please consult answer record 19865 for" &
		"potential TDO non-compliance." &
	"If the Shift-IR state is entered after a Pause-IR state is used," &
		"then the first bit shifted is always 0. This does not occur if" &
		"the Pause-IR state is not used prior to a Shift-IR state, which" &
		"is not fully compliant with the JTAG 1149.1 specification.";

end XQR4VFX60_CF1143;