BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: ZL50031


-- **********************************************************************
--
--  FILE :  zl50031qeg.bsd
--  generated by Cz.P. as zl50031 on Wed Sep 22 16:35:03 EDT 2004
--  using p.jtag.bsd rev 3.3 July 18, 2003
--
--  BSDL description for top level entity zl50031
--  Device : ZL50031  Flexible Digital switch with H.110
--  Package : 256-lead MQFP
-- 
--  Number of BSC cells: 257
-- 
-- **********************************************************************
--  Modification History:
--       Initial release:   Wed Sep 22 16:35:03 EDT 2004
--  ********************************************************************
--
--                        IMPORTANT NOTICE
--
--  This information is for modeling purposes only, and is not guaranteed.
--
--  This information is provided "as is" without warranty of any kind.
--  It may contain technical inaccuracies or typographical errors.
--
--  ZARLINK and ZL50031 are trademarks of ZARLINK Semiconductor. ZARLINK
--  products, marketed under trademarks, are protected under numerous US
--  and foreign patents and pending applications,  maskwork rights,  and
--  copyrights.
--
--  ZARLINK reserves the right to make changes to any products and 
--  services at any time without notice.  ZARLINK assumes no
--  responsibility or liability arising out of the application or use of
--  any information, product, or service described herein except as
--  expressly agreed to in writing by ZARLINK Corporation. ZARLINK 
--  customers are advised to obtain the latest version of device 
--  specifications before relying on any published information and before
--  placing orders for products or services.
--
--
--  ********************************************************************
--
--                             SPECIAL NOTES
--
--    1. All instruction opcodes other than those defined in this file
--       should be considered PRIVATE.
--
--    2. Ports IC_GND(1 to 21) are internal connects that should be tied
--       low for normal operation.
--
--    3. Ports IC_OPEN(1 to 10) are internal connects that should be left
--       for normal operation.
--
--    4. Ports NC(1 to 38) are No Connects.
--
--  ********************************************************************



entity zl50031 is

  generic(PHYSICAL_PIN_MAP : string := "QFP_PACKAGE");

port (
      	A: in bit_vector (0 to 13);
      	C1M5O: out bit;
      	C20I: in bit;
      	C32_64O: out bit;
      	C8_A_IO: inout bit;
      	C8_B_IO: inout bit;
      	CSB: in bit;
     	CTREF1: in bit;
      	CTREF2: in bit;
      	D: inout bit_vector (0 to 15);
      	DSB: in bit;
      	DTAB: out bit;
      	FAIL_A: out bit;
      	FAIL_B: out bit;
      	FRAME_A_IO: inout bit;
      	FRAME_B_IO: inout bit;
      	IC_GND: linkage bit_vector (1 to 21);
      	IC_OPEN: linkage bit_vector (1 to 10);
      	LREF: in bit_vector (0 to 3);
      	NC: linkage bit_vector (1 to 38);
      	NREFO: out bit;
      	ODE: in bit;
      	PC_OEB: linkage bit;
      	PRI_LOS: in bit;
      	RESETB: in bit;
      	RWB: in bit;
      	SEC_LOS: in bit;
     	ST_CKO0: out bit;
      	ST_CKO1: out bit;
     	ST_FPO0: out bit;
      	ST_FPO1: out bit;
      	STI: in bit_vector (0 to 15);
      	STIO: inout bit_vector (0 to 31);
      	STO: out bit_vector (0 to 15);
      	TCK: in bit;
      	TDI: in bit;
      	TDO: out bit;
      	TMS: in bit;
      	TRSTB: in bit;
      	GND: linkage bit_vector (1 to 27);
      	PLLGND: linkage bit;
      	PLLVDD: linkage bit;
      	VDD: linkage bit_vector (1 to 26);
      	VDD5V: linkage bit_vector (1 to 4)
);

 

  use STD_1149_1_1994.all;

  attribute COMPONENT_CONFORMANCE of zl50031 : entity is
        "STD_1149_1_1993";

  attribute PIN_MAP of zl50031 : entity is PHYSICAL_PIN_MAP;
  constant QFP_PACKAGE : PIN_MAP_STRING :=
  "A                :(16       , " & -- A[0]            
                     "15       , " & -- A[1]            
                     "14       , " & -- A[2]            
                     "13       , " & -- A[3]            
                     "12       , " & -- A[4]            
                     "11       , " & -- A[5]            
                     "10       , " & -- A[6]            
                     "9        , " & -- A[7]            
                     "6        , " & -- A[8]            
                     "5        , " & -- A[9]            
                     "4        , " & -- A[10]           
                     "3        , " & -- A[11]           
                     "2        , " & -- A[12]           
                     "1       ), " & -- A[13]           
  "C1M5O            : 58       , " &  
  "C20I             : 46       , " &  
  "C32_64O          : 63       , " &  
  "C8_A_IO          : 76       , " &  
  "C8_B_IO          : 73       , " &  
  "CSB              : 254      , " &  
  "CTREF1           : 68       , " &  
  "CTREF2           : 67       , " &  
  "D                :(251      , " & -- D[0]            
                     "250      , " & -- D[1]            
                     "249      , " & -- D[2]            
                     "248      , " & -- D[3]            
                     "247      , " & -- D[4]            
                     "246      , " & -- D[5]            
                     "245      , " & -- D[6]            
                     "244      , " & -- D[7]            
                     "241      , " & -- D[8]            
                     "240      , " & -- D[9]            
                     "239      , " & -- D[10]           
                     "238      , " & -- D[11]           
                     "237      , " & -- D[12]           
                     "236      , " & -- D[13]           
                     "235      , " & -- D[14]           
                     "234     ), " & -- D[15]           
  "DSB              : 255      , " &  
  "DTAB             : 233      , " &  
  "FAIL_A           : 74       , " &  
  "FAIL_B           : 71       , " &  
  "FRAME_A_IO       : 75       , " &  
  "FRAME_B_IO       : 72       , " &  
  "IC_GND           :(25       , " & -- IC_GND[1]       
                     "38       , " & -- IC_GND[2]       
                     "39       , " & -- IC_GND[3]       
                     "40       , " & -- IC_GND[4]       
                     "47       , " & -- IC_GND[5]       
                     "50       , " & -- IC_GND[6]       
                     "51       , " & -- IC_GND[7]       
                     "52       , " & -- IC_GND[8]       
                     "53       , " & -- IC_GND[9]       
                     "121      , " & -- IC_GND[10]      
                     "122      , " & -- IC_GND[11]      
                     "123      , " & -- IC_GND[12]      
                     "124      , " & -- IC_GND[13]      
                     "126      , " & -- IC_GND[14]      
                     "127      , " & -- IC_GND[15]      
                     "128      , " & -- IC_GND[16]      
                     "129      , " & -- IC_GND[17]      
                     "130      , " & -- IC_GND[18]      
                     "131      , " & -- IC_GND[19]      
                     "132      , " & -- IC_GND[20]      
                     "133     ), " & -- IC_GND[21]      
  "IC_OPEN          :(26       , " & -- IC_OPEN[1]      
                     "29       , " & -- IC_OPEN[2]      
                     "35       , " & -- IC_OPEN[3]      
                     "28       , " & -- IC_OPEN[4]      
                     "27       , " & -- IC_OPEN[5]      
                     "32       , " & -- IC_OPEN[6]      
                     "33       , " & -- IC_OPEN[7]      
                     "34       , " & -- IC_OPEN[8]      
                     "41       , " & -- IC_OPEN[9]      
                     "42      ), " & -- IC_OPEN[10]     
  "LREF             :(57       , " & -- LREF[0]         
                     "56       , " & -- LREF[1]         
                     "55       , " & -- LREF[2]         
                     "54      ), " & -- LREF[3]         
  "NC               :(157      , " & -- NC[1]           
                     "158      , " & -- NC[2]           
                     "165      , " & -- NC[3]           
                     "166      , " & -- NC[4]           
                     "173      , " & -- NC[5]           
                     "174      , " & -- NC[6]           
                     "181      , " & -- NC[7]           
                     "182      , " & -- NC[8]           
                     "189      , " & -- NC[9]           
                     "190      , " & -- NC[10]          
                     "191      , " & -- NC[11]          
                     "192      , " & -- NC[12]          
                     "193      , " & -- NC[13]          
                     "194      , " & -- NC[14]          
                     "195      , " & -- NC[15]          
                     "196      , " & -- NC[16]          
                     "205      , " & -- NC[17]          
                     "206      , " & -- NC[18]          
                     "213      , " & -- NC[19]          
                     "214      , " & -- NC[20]          
                     "221      , " & -- NC[21]          
                     "222      , " & -- NC[22]          
                     "227      , " & -- NC[23]          
                     "228      , " & -- NC[24]          
                     "80       , " & -- NC[25]          
                     "81       , " & -- NC[26]          
                     "82       , " & -- NC[27]          
                     "83       , " & -- NC[28]          
                     "84       , " & -- NC[29]          
                     "85       , " & -- NC[30]          
                     "86       , " & -- NC[31]          
                     "87       , " & -- NC[32]          
                     "90       , " & -- NC[33]          
                     "91       , " & -- NC[34]          
                     "92       , " & -- NC[35]          
                     "93       , " & -- NC[36]          
                     "232      , " & -- NC[37]          
                     "118     ), " & -- NC[38]          
  "NREFO            : 66       , " &  
  "ODE              : 79       , " &  
  "PC_OEB           : 154      , " &  
  "PRI_LOS          : 65       , " &  
  "RESETB           : 19       , " &  
  "RWB              : 256      , " &  
  "SEC_LOS          : 64       , " &  
  "ST_CKO0          : 61       , " &  
  "ST_CKO1          : 31       , " &  
  "ST_FPO0          : 60       , " &  
  "ST_FPO1          : 30       , " &  
  "STI              :(153      , " & -- STI[0]          
                     "152      , " & -- STI[1]          
                     "151      , " & -- STI[2]          
                     "150      , " & -- STI[3]          
                     "149      , " & -- STI[4]          
                     "148      , " & -- STI[5]          
                     "147      , " & -- STI[6]          
                     "146      , " & -- STI[7]          
                     "143      , " & -- STI[8]          
                     "142      , " & -- STI[9]          
                     "141      , " & -- STI[10]         
                     "140      , " & -- STI[11]         
                     "139      , " & -- STI[12]         
                     "138      , " & -- STI[13]         
                     "137      , " & -- STI[14]         
                     "136     ), " & -- STI[15]         
  "STIO             :(226      , " & -- STIO[0]         
                     "225      , " & -- STIO[1]         
                     "224      , " & -- STIO[2]         
                     "223      , " & -- STIO[3]         
                     "218      , " & -- STIO[4]         
                     "217      , " & -- STIO[5]         
                     "216      , " & -- STIO[6]         
                     "215      , " & -- STIO[7]         
                     "210      , " & -- STIO[8]         
                     "209      , " & -- STIO[9]         
                     "208      , " & -- STIO[10]        
                     "207      , " & -- STIO[11]        
                     "202      , " & -- STIO[12]        
                     "201      , " & -- STIO[13]        
                     "200      , " & -- STIO[14]        
                     "199      , " & -- STIO[15]        
                     "186      , " & -- STIO[16]        
                     "185      , " & -- STIO[17]        
                     "184      , " & -- STIO[18]        
                     "183      , " & -- STIO[19]        
                     "178      , " & -- STIO[20]        
                     "177      , " & -- STIO[21]        
                     "176      , " & -- STIO[22]        
                     "175      , " & -- STIO[23]        
                     "170      , " & -- STIO[24]        
                     "169      , " & -- STIO[25]        
                     "168      , " & -- STIO[26]        
                     "167      , " & -- STIO[27]        
                     "162      , " & -- STIO[28]        
                     "161      , " & -- STIO[29]        
                     "160      , " & -- STIO[30]        
                     "159     ), " & -- STIO[31]        
  "STO              :(117      , " & -- STO[0]          
                     "116      , " & -- STO[1]          
                     "115      , " & -- STO[2]          
                     "114      , " & -- STO[3]          
                     "111      , " & -- STO[4]          
                     "110      , " & -- STO[5]          
                     "109      , " & -- STO[6]          
                     "108      , " & -- STO[7]          
                     "105      , " & -- STO[8]          
                     "104      , " & -- STO[9]          
                     "103      , " & -- STO[10]         
                     "102      , " & -- STO[11]         
                     "99       , " & -- STO[12]         
                     "98       , " & -- STO[13]         
                     "97       , " & -- STO[14]         
                     "96      ), " & -- STO[15]         
  "TCK              : 22       , " &  
  "TDI              : 24       , " &  
  "TDO              : 20       , " &  
  "TMS              : 21       , " &  
  "TRSTB            : 23       , " &  
  "GND              :(7,    17,   36,   43,   48,   59,   69,   77,   88,   94,   100,  106," &
                     "112,  119,  125,  134,  144,  163,  171,  179,  188,  203,  211,  219," &
                     "230,  242,  252)," &
  "PLLGND           : 45       , " &   
  "PLLVDD           : 44       , " &   
  "VDD              :(8,    18,   37,   49,   62,   70,   78,   89,   95,   101,  107,  113," &
                     "120,  135,  145,  156,  164,  172,  180,  198,  204,  212,  220,  231," &
                     "243,  253)," &
  "VDD5V            :(155,  187,  197,  229)";
  
  attribute TAP_SCAN_IN    of TDI     : signal is true;
  attribute TAP_SCAN_MODE  of TMS     : signal is true;
  attribute TAP_SCAN_OUT   of TDO     : signal is true;
  attribute TAP_SCAN_CLOCK of TCK     : signal is (2.0e6,BOTH);
  attribute TAP_SCAN_RESET of TRSTB   : signal is true;


--
-- NOTE:  All instruction opcodes other than those defined in this file
--        should be considered PRIVATE.
--

  attribute INSTRUCTION_LENGTH of zl50031 : entity is 4;
  attribute INSTRUCTION_OPCODE of zl50031 : entity is
    "bypass                       (1111)," &
    "extest                       (0000)," &
    "idcode                       (1010)," &
    "sample                       (0001)";

  attribute INSTRUCTION_CAPTURE of zl50031 : entity is "0001";

  attribute IDCODE_REGISTER of zl50031 : entity is
        "0000" & 		-- version
        "0000100001100110" &    -- part number
        "00010100101" &		-- manufacturer id
        "1";


  attribute REGISTER_ACCESS of zl50031 : entity is
    "boundary (extest, sample)," &
    "bypass (bypass)," &
    "device_id (idcode)" ;
 
  attribute BOUNDARY_LENGTH of zl50031 : entity is 257;
 
  attribute BOUNDARY_REGISTER of zl50031 : entity is

--       num      cell  port                    function       safe ccel  disval  rslt

	" 0     ( BC_1, RESETB,                 input,         X)                        ," &
	" 1     ( BC_1, A(0),                   input,         X)                        ," &
	" 2     ( BC_1, A(1),                   input,         X)                        ," &
	" 3     ( BC_1, A(2),                   input,         X)                        ," &
	" 4     ( BC_1, A(3),                   input,         X)                        ," &
	" 5     ( BC_1, A(4),                   input,         X)                        ," &
	" 6     ( BC_1, A(5),                   input,         X)                        ," &
	" 7     ( BC_1, A(6),                   input,         X)                        ," &
	" 8     ( BC_1, A(7),                   input,         X)                        ," &
	" 9     ( BC_1, A(8),                   input,         X)                        ," &
	" 10    ( BC_1, A(9),                   input,         X)                        ," &
	" 11    ( BC_1, A(10),                  input,         X)                        ," &
	" 12    ( BC_1, A(11),                  input,         X)                        ," &
	" 13    ( BC_1, A(12),                  input,         X)                        ," &
	" 14    ( BC_1, A(13),                  input,         X)                        ," &
	" 15    ( BC_1, RWB,                    input,         X)                        ," &
	" 16    ( BC_1, DSB,                    input,         X)                        ," &
	" 17    ( BC_1, CSB,                    input,         X)                        ," &
	" 18    ( BC_2, *,                      control,       1)                        ," &
	" 19    ( BC_7, D(0),                   bidir,         X,   18,    1,      Z)    ," &
	" 20    ( BC_2, *,                      control,       1)                        ," &
	" 21    ( BC_7, D(1),                   bidir,         X,   20,    1,      Z)    ," &
	" 22    ( BC_2, *,                      control,       1)                        ," &
	" 23    ( BC_7, D(2),                   bidir,         X,   22,    1,      Z)    ," &
	" 24    ( BC_2, *,                      control,       1)                        ," &
	" 25    ( BC_7, D(3),                   bidir,         X,   24,    1,      Z)    ," &
	" 26    ( BC_2, *,                      control,       1)                        ," &
	" 27    ( BC_7, D(4),                   bidir,         X,   26,    1,      Z)    ," &
	" 28    ( BC_2, *,                      control,       1)                        ," &
	" 29    ( BC_7, D(5),                   bidir,         X,   28,    1,      Z)    ," &
	" 30    ( BC_2, *,                      control,       1)                        ," &
	" 31    ( BC_7, D(6),                   bidir,         X,   30,    1,      Z)    ," &
	" 32    ( BC_2, *,                      control,       1)                        ," &
	" 33    ( BC_7, D(7),                   bidir,         X,   32,    1,      Z)    ," &
	" 34    ( BC_2, *,                      control,       1)                        ," &
	" 35    ( BC_7, D(8),                   bidir,         X,   34,    1,      Z)    ," &
	" 36    ( BC_2, *,                      control,       1)                        ," &
	" 37    ( BC_7, D(9),                   bidir,         X,   36,    1,      Z)    ," &
	" 38    ( BC_2, *,                      control,       1)                        ," &
	" 39    ( BC_7, D(10),                  bidir,         X,   38,    1,      Z)    ," &
	" 40    ( BC_2, *,                      control,       1)                        ," &
	" 41    ( BC_7, D(11),                  bidir,         X,   40,    1,      Z)    ," &
	" 42    ( BC_2, *,                      control,       1)                        ," &
	" 43    ( BC_7, D(12),                  bidir,         X,   42,    1,      Z)    ," &
	" 44    ( BC_2, *,                      control,       1)                        ," &
	" 45    ( BC_7, D(13),                  bidir,         X,   44,    1,      Z)    ," &
	" 46    ( BC_2, *,                      control,       1)                        ," &
	" 47    ( BC_7, D(14),                  bidir,         X,   46,    1,      Z)    ," &
	" 48    ( BC_2, *,                      control,       1)                        ," &
	" 49    ( BC_7, D(15),                  bidir,         X,   48,    1,      Z)    ," &
	" 50    ( BC_5, *,                      control,       1)                        ," &
	" 51    ( BC_1, DTAB,                   output3,       X,   50,    1,      Z)    ," &
	" 52    ( BC_0, *,                      internal,      1)                        ," &
	" 53    ( BC_0, *,                      internal,      X)                        ," &
	" 54    ( BC_2, *,                      control,       1)                        ," &
	" 55    ( BC_7, STIO(0),                bidir,         X,   54,    1,      Z)    ," &
	" 56    ( BC_2, *,                      control,       1)                        ," &
	" 57    ( BC_7, STIO(1),                bidir,         X,   56,    1,      Z)    ," &
	" 58    ( BC_2, *,                      control,       1)                        ," &
	" 59    ( BC_7, STIO(2),                bidir,         X,   58,    1,      Z)    ," &
	" 60    ( BC_2, *,                      control,       1)                        ," &
	" 61    ( BC_7, STIO(3),                bidir,         X,   60,    1,      Z)    ," &
	" 62    ( BC_2, *,                      control,       1)                        ," &
	" 63    ( BC_7, STIO(4),                bidir,         X,   62,    1,      Z)    ," &
	" 64    ( BC_2, *,                      control,       1)                        ," &
	" 65    ( BC_7, STIO(5),                bidir,         X,   64,    1,      Z)    ," &
	" 66    ( BC_2, *,                      control,       1)                        ," &
	" 67    ( BC_7, STIO(6),                bidir,         X,   66,    1,      Z)    ," &
	" 68    ( BC_2, *,                      control,       1)                        ," &
	" 69    ( BC_7, STIO(7),                bidir,         X,   68,    1,      Z)    ," &
	" 70    ( BC_2, *,                      control,       1)                        ," &
	" 71    ( BC_7, STIO(8),                bidir,         X,   70,    1,      Z)    ," &
	" 72    ( BC_2, *,                      control,       1)                        ," &
	" 73    ( BC_7, STIO(9),                bidir,         X,   72,    1,      Z)    ," &
	" 74    ( BC_2, *,                      control,       1)                        ," &
	" 75    ( BC_7, STIO(10),               bidir,         X,   74,    1,      Z)    ," &
	" 76    ( BC_2, *,                      control,       1)                        ," &
	" 77    ( BC_7, STIO(11),               bidir,         X,   76,    1,      Z)    ," &
	" 78    ( BC_2, *,                      control,       1)                        ," &
	" 79    ( BC_7, STIO(12),               bidir,         X,   78,    1,      Z)    ," &
	" 80    ( BC_2, *,                      control,       1)                        ," &
	" 81    ( BC_7, STIO(13),               bidir,         X,   80,    1,      Z)    ," &
	" 82    ( BC_2, *,                      control,       1)                        ," &
	" 83    ( BC_7, STIO(14),               bidir,         X,   82,    1,      Z)    ," &
	" 84    ( BC_2, *,                      control,       1)                        ," &
	" 85    ( BC_7, STIO(15),               bidir,         X,   84,    1,      Z)    ," &
	" 86    ( BC_2, *,                      control,       1)                        ," &
	" 87    ( BC_7, STIO(16),               bidir,         X,   86,    1,      Z)    ," &
	" 88    ( BC_2, *,                      control,       1)                        ," &
	" 89    ( BC_7, STIO(17),               bidir,         X,   88,    1,      Z)    ," &
	" 90    ( BC_2, *,                      control,       1)                        ," &
	" 91    ( BC_7, STIO(18),               bidir,         X,   90,    1,      Z)    ," &
	" 92    ( BC_2, *,                      control,       1)                        ," &
	" 93    ( BC_7, STIO(19),               bidir,         X,   92,    1,      Z)    ," &
	" 94    ( BC_2, *,                      control,       1)                        ," &
	" 95    ( BC_7, STIO(20),               bidir,         X,   94,    1,      Z)    ," &
	" 96    ( BC_2, *,                      control,       1)                        ," &
	" 97    ( BC_7, STIO(21),               bidir,         X,   96,    1,      Z)    ," &
	" 98    ( BC_2, *,                      control,       1)                        ," &
	" 99    ( BC_7, STIO(22),               bidir,         X,   98,    1,      Z)    ," &
	" 100   ( BC_2, *,                      control,       1)                        ," &
	" 101   ( BC_7, STIO(23),               bidir,         X,   100,   1,      Z)    ," &
	" 102   ( BC_2, *,                      control,       1)                        ," &
	" 103   ( BC_7, STIO(24),               bidir,         X,   102,   1,      Z)    ," &
	" 104   ( BC_2, *,                      control,       1)                        ," &
	" 105   ( BC_7, STIO(25),               bidir,         X,   104,   1,      Z)    ," &
	" 106   ( BC_2, *,                      control,       1)                        ," &
	" 107   ( BC_7, STIO(26),               bidir,         X,   106,   1,      Z)    ," &
	" 108   ( BC_2, *,                      control,       1)                        ," &
	" 109   ( BC_7, STIO(27),               bidir,         X,   108,   1,      Z)    ," &
	" 110   ( BC_2, *,                      control,       1)                        ," &
	" 111   ( BC_7, STIO(28),               bidir,         X,   110,   1,      Z)    ," &
	" 112   ( BC_2, *,                      control,       1)                        ," &
	" 113   ( BC_7, STIO(29),               bidir,         X,   112,   1,      Z)    ," &
	" 114   ( BC_2, *,                      control,       1)                        ," &
	" 115   ( BC_7, STIO(30),               bidir,         X,   114,   1,      Z)    ," &
	" 116   ( BC_2, *,                      control,       1)                        ," &
	" 117   ( BC_7, STIO(31),               bidir,         X,   116,   1,      Z)    ," &
	" 118   ( BC_1, STI(0),                 input,         X)                        ," &
	" 119   ( BC_1, STI(1),                 input,         X)                        ," &
	" 120   ( BC_1, STI(2),                 input,         X)                        ," &
	" 121   ( BC_1, STI(3),                 input,         X)                        ," &
	" 122   ( BC_1, STI(4),                 input,         X)                        ," &
	" 123   ( BC_1, STI(5),                 input,         X)                        ," &
	" 124   ( BC_1, STI(6),                 input,         X)                        ," &
	" 125   ( BC_1, STI(7),                 input,         X)                        ," &
	" 126   ( BC_1, STI(8),                 input,         X)                        ," &
	" 127   ( BC_1, STI(9),                 input,         X)                        ," &
	" 128   ( BC_1, STI(10),                input,         X)                        ," &
	" 129   ( BC_1, STI(11),                input,         X)                        ," &
	" 130   ( BC_1, STI(12),                input,         X)                        ," &
	" 131   ( BC_1, STI(13),                input,         X)                        ," &
	" 132   ( BC_1, STI(14),                input,         X)                        ," &
	" 133   ( BC_1, STI(15),                input,         X)                        ," &
	" 134   ( BC_0, *,                      internal,      X)                        ," &
	" 135   ( BC_0, *,                      internal,      X)                        ," &
	" 136   ( BC_0, *,                      internal,      X)                        ," &
	" 137   ( BC_0, *,                      internal,      X)                        ," &
	" 138   ( BC_0, *,                      internal,      X)                        ," &
	" 139   ( BC_0, *,                      internal,      X)                        ," &
	" 140   ( BC_0, *,                      internal,      X)                        ," &
	" 141   ( BC_0, *,                      internal,      X)                        ," &
	" 142   ( BC_0, *,                      internal,      X)                        ," &
	" 143   ( BC_0, *,                      internal,      X)                        ," &
	" 144   ( BC_0, *,                      internal,      X)                        ," &
	" 145   ( BC_0, *,                      internal,      X)                        ," &
	" 146   ( BC_0, *,                      internal,      1)                        ," &
	" 147   ( BC_0, *,                      internal,      X)                        ," &
	" 148   ( BC_5, *,                      control,       1)                        ," &
	" 149   ( BC_1, STO(0),                 output3,       X,   148,   1,      Z)    ," &
	" 150   ( BC_5, *,                      control,       1)                        ," &
	" 151   ( BC_1, STO(1),                 output3,       X,   150,   1,      Z)    ," &
	" 152   ( BC_5, *,                      control,       1)                        ," &
	" 153   ( BC_1, STO(2),                 output3,       X,   152,   1,      Z)    ," &
	" 154   ( BC_5, *,                      control,       1)                        ," &
	" 155   ( BC_1, STO(3),                 output3,       X,   154,   1,      Z)    ," &
	" 156   ( BC_5, *,                      control,       1)                        ," &
	" 157   ( BC_1, STO(4),                 output3,       X,   156,   1,      Z)    ," &
	" 158   ( BC_5, *,                      control,       1)                        ," &
	" 159   ( BC_1, STO(5),                 output3,       X,   158,   1,      Z)    ," &
	" 160   ( BC_5, *,                      control,       1)                        ," &
	" 161   ( BC_1, STO(6),                 output3,       X,   160,   1,      Z)    ," &
	" 162   ( BC_5, *,                      control,       1)                        ," &
	" 163   ( BC_1, STO(7),                 output3,       X,   162,   1,      Z)    ," &
	" 164   ( BC_5, *,                      control,       1)                        ," &
	" 165   ( BC_1, STO(8),                 output3,       X,   164,   1,      Z)    ," &
	" 166   ( BC_5, *,                      control,       1)                        ," &
	" 167   ( BC_1, STO(9),                 output3,       X,   166,   1,      Z)    ," &
	" 168   ( BC_5, *,                      control,       1)                        ," &
	" 169   ( BC_1, STO(10),                output3,       X,   168,   1,      Z)    ," &
	" 170   ( BC_5, *,                      control,       1)                        ," &
	" 171   ( BC_1, STO(11),                output3,       X,   170,   1,      Z)    ," &
	" 172   ( BC_5, *,                      control,       1)                        ," &
	" 173   ( BC_1, STO(12),                output3,       X,   172,   1,      Z)    ," &
	" 174   ( BC_5, *,                      control,       1)                        ," &
	" 175   ( BC_1, STO(13),                output3,       X,   174,   1,      Z)    ," &
	" 176   ( BC_5, *,                      control,       1)                        ," &
	" 177   ( BC_1, STO(14),                output3,       X,   176,   1,      Z)    ," &
	" 178   ( BC_5, *,                      control,       1)                        ," &
	" 179   ( BC_1, STO(15),                output3,       X,   178,   1,      Z)    ," &
	" 180   ( BC_0, *,                      internal,      1)                        ," &
	" 181   ( BC_0, *,                      internal,      X)                        ," &
	" 182   ( BC_0, *,                      internal,      1)                        ," &
	" 183   ( BC_0, *,                      internal,      X)                        ," &
	" 184   ( BC_0, *,                      internal,      1)                        ," &
	" 185   ( BC_0, *,                      internal,      X)                        ," &
	" 186   ( BC_0, *,                      internal,      1)                        ," &
	" 187   ( BC_0, *,                      internal,      X)                        ," &
	" 188   ( BC_0, *,                      internal,      1)                        ," &
	" 189   ( BC_0, *,                      internal,      X)                        ," &
	" 190   ( BC_0, *,                      internal,      1)                        ," &
	" 191   ( BC_0, *,                      internal,      X)                        ," &
	" 192   ( BC_0, *,                      internal,      1)                        ," &
	" 193   ( BC_0, *,                      internal,      X)                        ," &
	" 194   ( BC_0, *,                      internal,      1)                        ," &
	" 195   ( BC_0, *,                      internal,      X)                        ," &
	" 196   ( BC_0, *,                      internal,      1)                        ," &
	" 197   ( BC_0, *,                      internal,      X)                        ," &
	" 198   ( BC_0, *,                      internal,      1)                        ," &
	" 199   ( BC_0, *,                      internal,      X)                        ," &
	" 200   ( BC_0, *,                      internal,      1)                        ," &
	" 201   ( BC_0, *,                      internal,      X)                        ," &
	" 202   ( BC_0, *,                      internal,      1)                        ," &
	" 203   ( BC_0, *,                      internal,      X)                        ," &
	" 204   ( BC_1, ODE,                    input,         X)                        ," &
	" 205   ( BC_0, *,                      internal,      1)                        ," &
	" 206   ( BC_0, *,                      internal,      X)                        ," &
	" 207   ( BC_0, *,                      internal,      1)                        ," &
	" 208   ( BC_0, *,                      internal,      X)                        ," &
	" 209   ( BC_2, *,                      control,       1)                        ," &
	" 210   ( BC_7, C8_A_IO,                bidir,         X,   209,   1,      Z)    ," &
	" 211   ( BC_2, *,                      control,       1)                        ," &
	" 212   ( BC_7, FRAME_A_IO,             bidir,         X,   211,   1,      Z)    ," &
	" 213   ( BC_5, *,                      control,       1)                        ," &
	" 214   ( BC_1, FAIL_A,                 output3,       X,   213,   1,      Z)    ," &
	" 215   ( BC_2, *,                      control,       1)                        ," &
	" 216   ( BC_7, C8_B_IO,                bidir,         X,   215,   1,      Z)    ," &
	" 217   ( BC_2, *,                      control,       1)                        ," &
	" 218   ( BC_7, FRAME_B_IO,             bidir,         X,   217,   1,      Z)    ," &
	" 219   ( BC_5, *,                      control,       1)                        ," &
	" 220   ( BC_1, FAIL_B,                 output3,       X,   219,   1,      Z)    ," &
	" 221   ( BC_1, CTREF1,                 input,         X)                        ," &
	" 222   ( BC_1, CTREF2,                 input,         X)                        ," &
	" 223   ( BC_5, *,                      control,       1)                        ," &
	" 224   ( BC_1, NREFO,                  output3,       X,   223,   1,      Z)    ," &
	" 225   ( BC_1, PRI_LOS,                input,         X)                        ," &
	" 226   ( BC_1, SEC_LOS,                input,         X)                        ," &
	" 227   ( BC_5, *,                      control,       1)                        ," &
	" 228   ( BC_1, C32_64O,                output3,       X,   227,   1,      Z)    ," &
	" 229   ( BC_5, *,                      control,       1)                        ," &
	" 230   ( BC_1, ST_CKO0,                output3,       X,   229,   1,      Z)    ," &
	" 231   ( BC_5, *,                      control,       1)                        ," &
	" 232   ( BC_1, ST_FPO0,                output3,       X,   231,   1,      Z)    ," &
	" 233   ( BC_5, *,                      control,       1)                        ," &
	" 234   ( BC_1, C1M5O,                  output3,       X,   233,   1,      Z)    ," &
	" 235   ( BC_1, LREF(0),                input,         X)                        ," &
	" 236   ( BC_1, LREF(1),                input,         X)                        ," &
	" 237   ( BC_1, LREF(2),                input,         X)                        ," &
	" 238   ( BC_1, LREF(3),                input,         X)                        ," &
	" 239   ( BC_0, *,                      internal,      X)                        ," &
	" 240   ( BC_0, *,                      internal,      X)                        ," &
	" 241   ( BC_0, *,                      internal,      X)                        ," &
	" 242   ( BC_0, *,                      internal,      X)                        ," &
	" 243   ( BC_0, *,                      internal,      1)                        ," &
	" 244   ( BC_0, *,                      internal,      X)                        ," &
	" 245   ( BC_0, *,                      internal,      X)                        ," &
	" 246   ( BC_1, C20I,                   input,         X)                        ," &
	" 247   ( BC_0, *,                      internal,      1)                        ," &
	" 248   ( BC_0, *,                      internal,      X)                        ," &
	" 249   ( BC_0, *,                      internal,      X)                        ," &
	" 250   ( BC_0, *,                      internal,      X)                        ," &
	" 251   ( BC_0, *,                      internal,      X)                        ," &
	" 252   ( BC_0, *,                      internal,      X)                        ," &
	" 253   ( BC_5, *,                      control,       1)                        ," &
	" 254   ( BC_1, ST_CKO1,                output3,       X,   253,   1,      Z)    ," &
	" 255   ( BC_5, *,                      control,       1)                        ," &
	" 256   ( BC_1, ST_FPO1,                output3,       X,   255,   1,      Z)    ";

end zl50031;

------------- end of BSDL description for the zl50031 ----------