BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XC3S1500_FG676

--$ XILINX$RCSfile: xc3s1500_fg676.bsd,v $
--$ XILINX$Revision: 1.2 $
--
-- BSDL file for device XC3S1500, package FG676
-- Xilinx, Inc. $State: PRELIMINARY $ $Date: 2003-04-29 16:02:00-07 $
-- Generated by createBSDL 2.21
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- or contact our technical support at:
--
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	+44 870 7350 610	eurosupport@xilinx.com
--	France		(33) 1 3463 0100	eurosupport@xilinx.com
--	Germany		(49) 89 991 54930	eurosupport@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- To prevent losing the current configuration, the boundary scan
-- test vectors should keep the PROG_B pin high.
--
-- PROG_B can only be captured, not updated.  The value
-- at the pin is always used by the device.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an LVCMOS25 
-- input buffer. In order to properly capture a logic high value at one
-- of these IOBs into its input boundary scan cell, VCCO must be
-- at least 1.7V (Vih for LVCMOS25).
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- The disable result of a 3-stated I/O in this file correspond
-- to HSWAP_EN being high.  If HSWAP_EN is low, every PULL0 should
-- be changed to PULL1.
--
-- HSWAP_EN, M0, M1, and M2 can only be captured, not updated.  The value
-- at the pin is always used by the device.

entity XC3S1500_FG676 is

generic (PHYSICAL_PIN_MAP : string := "FG676" );

port (
	GND: linkage bit_vector (1 to 76);
	CCLK_AD26: inout bit;
	DONE_AC24: inout bit;
	HSWAP_EN_C2: in bit;
	M0_AE3: in bit;
	M1_AC3: in bit;
	M2_AF3: in bit;
	PROG_B: in bit;
	TCK: in bit;
	TDI: in bit;
	TDO: out bit;
	TMS: in bit;
	VCCAUX: linkage bit_vector (1 to 16);
	VCCINT: linkage bit_vector (1 to 20);
	VCCO0: linkage bit_vector (1 to 8);
	VCCO1: linkage bit_vector (1 to 8);
	VCCO2: linkage bit_vector (1 to 8);
	VCCO3: linkage bit_vector (1 to 8);
	VCCO4: linkage bit_vector (1 to 8);
	VCCO5: linkage bit_vector (1 to 8);
	VCCO6: linkage bit_vector (1 to 8);
	VCCO7: linkage bit_vector (1 to 8);
	IO_A3: inout bit; --  PAD5
	IO_A4: inout bit; --  PAD8
	IO_A5: inout bit; --  PAD11
	IO_A6: inout bit; --  PAD16
	IO_A7: inout bit; --  PAD20
	IO_A8: inout bit; --  PAD28
	IO_A10: inout bit; --  PAD43
	IO_A11: inout bit; --  PAD50
	IO_A12: inout bit; --  PAD58
	IO_A13: inout bit; --  PAD65
	IO_A14: inout bit; --  PAD66
	IO_A15: inout bit; --  PAD74
	IO_A16: inout bit; --  PAD82
	IO_A17: inout bit; --  PAD89
	IO_A19: inout bit; --  PAD104
	IO_A20: inout bit; --  PAD112
	IO_A21: inout bit; --  PAD117
	IO_A22: inout bit; --  PAD121
	IO_A23: inout bit; --  PAD126
	IO_B3: inout bit; --  PAD1
	IO_B4: inout bit; --  PAD7
	IO_B5: inout bit; --  PAD10
	IO_B6: inout bit; --  PAD15
	IO_B7: inout bit; --  PAD19
	IO_B8: inout bit; --  PAD27
	IO_B9: inout bit; --  PAD35
	IO_B10: inout bit; --  PAD42
	IO_B11: inout bit; --  PAD49
	IO_B12: inout bit; --  PAD57
	IO_B13: inout bit; --  PAD64
	IO_B14: inout bit; --  PAD67
	IO_B15: inout bit; --  PAD75
	IO_B16: inout bit; --  PAD83
	IO_B17: inout bit; --  PAD90
	IO_B18: inout bit; --  PAD97
	IO_B19: inout bit; --  PAD105
	IO_B20: inout bit; --  PAD113
	IO_B21: inout bit; --  PAD118
	IO_B22: inout bit; --  PAD122
	IO_B23: inout bit; --  PAD127
	IO_C4: inout bit; --  PAD6
	IO_C5: inout bit; --  PAD9
	IO_C6: inout bit; --  PAD14
	IO_C8: inout bit; --  PAD26
	IO_C9: inout bit; --  PAD34
	IO_C10: inout bit; --  PAD40
	IO_C12: inout bit; --  PAD56
	IO_C13: inout bit; --  PAD63
	IO_C14: inout bit; --  PAD68
	IO_C15: inout bit; --  PAD76
	IO_C17: inout bit; --  PAD91
	IO_C18: inout bit; --  PAD98
	IO_C19: inout bit; --  PAD107
	IO_C21: inout bit; --  PAD119
	IO_C22: inout bit; --  PAD123
	IO_C23: inout bit; --  PAD128
	IO_C25: inout bit; --  PAD131
	IO_C26: inout bit; --  PAD132
	IO_D1: inout bit; --  PAD511
	IO_D2: inout bit; --  PAD512
	IO_D5: inout bit; --  PAD3
	IO_D6: inout bit; --  PAD13
	IO_D7: inout bit; --  PAD18
	IO_D8: inout bit; --  PAD25
	IO_D9: inout bit; --  PAD33
	IO_D10: inout bit; --  PAD39
	IO_D11: inout bit; --  PAD48
	IO_D13: inout bit; --  PAD62
	IO_D14: inout bit; --  PAD69
	IO_D16: inout bit; --  PAD81
	IO_D17: inout bit; --  PAD92
	IO_D18: inout bit; --  PAD99
	IO_D19: inout bit; --  PAD108
	IO_D20: inout bit; --  PAD114
	IO_D21: inout bit; --  PAD120
	IO_D22: inout bit; --  PAD129
	IO_D25: inout bit; --  PAD135
	IO_D26: inout bit; --  PAD136
	IO_E1: inout bit; --  PAD507
	IO_E2: inout bit; --  PAD508
	IO_E3: inout bit; --  PAD513
	IO_E4: inout bit; --  PAD514
	IO_E5: inout bit; --  PAD2
	IO_E6: inout bit; --  PAD12
	IO_E7: inout bit; --  PAD17
	IO_E8: inout bit; --  PAD24
	IO_E9: inout bit; --  PAD32
	IO_E10: inout bit; --  PAD38
	IO_E11: inout bit; --  PAD47
	IO_E12: inout bit; --  PAD55
	IO_E13: inout bit; --  PAD61
	IO_E14: inout bit; --  PAD70
	IO_E15: inout bit; --  PAD77
	IO_E16: inout bit; --  PAD84
	IO_E17: inout bit; --  PAD93
	IO_E18: inout bit; --  PAD101
	IO_E19: inout bit; --  PAD109
	IO_E20: inout bit; --  PAD115
	IO_E21: inout bit; --  PAD124
	IO_E22: inout bit; --  PAD130
	IO_E23: inout bit; --  PAD133
	IO_E24: inout bit; --  PAD134
	IO_E25: inout bit; --  PAD137
	IO_E26: inout bit; --  PAD138
	IO_F1: inout bit; --  PAD501
	IO_F2: inout bit; --  PAD502
	IO_F3: inout bit; --  PAD505
	IO_F4: inout bit; --  PAD506
	IO_F5: inout bit; --  PAD515
	IO_F6: inout bit; --  PAD516
	IO_F7: inout bit; --  PAD21
	IO_F8: inout bit; --  PAD23
	IO_F9: inout bit; --  PAD30
	IO_F10: inout bit; --  PAD37
	IO_F11: inout bit; --  PAD45
	IO_F12: inout bit; --  PAD54
	IO_F13: inout bit; --  PAD60
	IO_F14: inout bit; --  PAD71
	IO_F15: inout bit; --  PAD78
	IO_F16: inout bit; --  PAD85
	IO_F17: inout bit; --  PAD94
	IO_F18: inout bit; --  PAD102
	IO_F19: inout bit; --  PAD110
	IO_F20: inout bit; --  PAD116
	IO_F21: inout bit; --  PAD125
	IO_F23: inout bit; --  PAD141
	IO_F24: inout bit; --  PAD142
	IO_F25: inout bit; --  PAD145
	IO_F26: inout bit; --  PAD146
	IO_G1: inout bit; --  PAD497
	IO_G2: inout bit; --  PAD498
	IO_G4: inout bit; --  PAD503
	IO_G5: inout bit; --  PAD504
	IO_G6: inout bit; --  PAD509
	IO_G7: inout bit; --  PAD510
	IO_G8: inout bit; --  PAD22
	IO_G9: inout bit; --  PAD29
	IO_G10: inout bit; --  PAD36
	IO_G11: inout bit; --  PAD44
	IO_G12: inout bit; --  PAD52
	IO_G13: inout bit; --  PAD59
	IO_G14: inout bit; --  PAD72
	IO_G15: inout bit; --  PAD79
	IO_G16: inout bit; --  PAD87
	IO_G17: inout bit; --  PAD95
	IO_G18: inout bit; --  PAD103
	IO_G19: inout bit; --  PAD111
	IO_G20: inout bit; --  PAD139
	IO_G21: inout bit; --  PAD140
	IO_G22: inout bit; --  PAD143
	IO_G23: inout bit; --  PAD144
	IO_G25: inout bit; --  PAD147
	IO_G26: inout bit; --  PAD148
	IO_H1: inout bit; --  PAD491
	IO_H2: inout bit; --  PAD492
	IO_H3: inout bit; --  PAD493
	IO_H4: inout bit; --  PAD494
	IO_H5: inout bit; --  PAD496
	IO_H6: inout bit; --  PAD499
	IO_H7: inout bit; --  PAD500
	IO_H11: inout bit; --  PAD41
	IO_H12: inout bit; --  PAD51
	IO_H13: inout bit; --  PAD53
	IO_H14: inout bit; --  PAD73
	IO_H15: inout bit; --  PAD80
	IO_H16: inout bit; --  PAD88
	IO_H20: inout bit; --  PAD149
	IO_H21: inout bit; --  PAD150
	IO_H22: inout bit; --  PAD151
	IO_H23: inout bit; --  PAD153
	IO_H24: inout bit; --  PAD154
	IO_H25: inout bit; --  PAD155
	IO_H26: inout bit; --  PAD156
	IO_J2: inout bit; --  PAD485
	IO_J3: inout bit; --  PAD486
	IO_J4: inout bit; --  PAD487
	IO_J5: inout bit; --  PAD488
	IO_J6: inout bit; --  PAD495
	IO_J7: inout bit; --  PAD490
	IO_J20: inout bit; --  PAD157
	IO_J21: inout bit; --  PAD152
	IO_J22: inout bit; --  PAD159
	IO_J23: inout bit; --  PAD160
	IO_J24: inout bit; --  PAD161
	IO_J25: inout bit; --  PAD162
	IO_K1: inout bit; --  PAD479
	IO_K2: inout bit; --  PAD480
	IO_K3: inout bit; --  PAD481
	IO_K4: inout bit; --  PAD482
	IO_K5: inout bit; --  PAD483
	IO_K6: inout bit; --  PAD484
	IO_K7: inout bit; --  PAD489
	IO_K20: inout bit; --  PAD158
	IO_K21: inout bit; --  PAD163
	IO_K22: inout bit; --  PAD164
	IO_K23: inout bit; --  PAD165
	IO_K24: inout bit; --  PAD166
	IO_K25: inout bit; --  PAD167
	IO_K26: inout bit; --  PAD168
	IO_L1: inout bit; --  PAD473
	IO_L2: inout bit; --  PAD474
	IO_L4: inout bit; --  PAD466
	IO_L5: inout bit; --  PAD475
	IO_L6: inout bit; --  PAD476
	IO_L7: inout bit; --  PAD477
	IO_L8: inout bit; --  PAD478
	IO_L19: inout bit; --  PAD169
	IO_L20: inout bit; --  PAD170
	IO_L21: inout bit; --  PAD171
	IO_L22: inout bit; --  PAD172
	IO_L23: inout bit; --  PAD181
	IO_L25: inout bit; --  PAD173
	IO_L26: inout bit; --  PAD174
	IO_M1: inout bit; --  PAD463
	IO_M2: inout bit; --  PAD464
	IO_M3: inout bit; --  PAD465
	IO_M5: inout bit; --  PAD468
	IO_M6: inout bit; --  PAD467
	IO_M7: inout bit; --  PAD469
	IO_M8: inout bit; --  PAD470
	IO_M19: inout bit; --  PAD177
	IO_M20: inout bit; --  PAD178
	IO_M21: inout bit; --  PAD179
	IO_M22: inout bit; --  PAD180
	IO_M24: inout bit; --  PAD182
	IO_M25: inout bit; --  PAD183
	IO_M26: inout bit; --  PAD184
	IO_N1: inout bit; --  PAD453
	IO_N2: inout bit; --  PAD454
	IO_N3: inout bit; --  PAD455
	IO_N4: inout bit; --  PAD456
	IO_N5: inout bit; --  PAD457
	IO_N6: inout bit; --  PAD458
	IO_N7: inout bit; --  PAD461
	IO_N8: inout bit; --  PAD462
	IO_N19: inout bit; --  PAD185
	IO_N20: inout bit; --  PAD186
	IO_N21: inout bit; --  PAD189
	IO_N22: inout bit; --  PAD190
	IO_N23: inout bit; --  PAD191
	IO_N24: inout bit; --  PAD192
	IO_N25: inout bit; --  PAD193
	IO_N26: inout bit; --  PAD194
	IO_P1: inout bit; --  PAD452
	IO_P2: inout bit; --  PAD451
	IO_P3: inout bit; --  PAD450
	IO_P4: inout bit; --  PAD449
	IO_P5: inout bit; --  PAD448
	IO_P6: inout bit; --  PAD447
	IO_P7: inout bit; --  PAD444
	IO_P8: inout bit; --  PAD443
	IO_P19: inout bit; --  PAD204
	IO_P20: inout bit; --  PAD203
	IO_P21: inout bit; --  PAD200
	IO_P22: inout bit; --  PAD199
	IO_P23: inout bit; --  PAD198
	IO_P24: inout bit; --  PAD197
	IO_P25: inout bit; --  PAD196
	IO_P26: inout bit; --  PAD195
	IO_R1: inout bit; --  PAD442
	IO_R2: inout bit; --  PAD441
	IO_R3: inout bit; --  PAD440
	IO_R5: inout bit; --  PAD438
	IO_R6: inout bit; --  PAD437
	IO_R7: inout bit; --  PAD436
	IO_R8: inout bit; --  PAD435
	IO_R19: inout bit; --  PAD212
	IO_R20: inout bit; --  PAD211
	IO_R21: inout bit; --  PAD210
	IO_R22: inout bit; --  PAD209
	IO_R24: inout bit; --  PAD207
	IO_R25: inout bit; --  PAD206
	IO_R26: inout bit; --  PAD205
	IO_T1: inout bit; --  PAD432
	IO_T2: inout bit; --  PAD431
	IO_T4: inout bit; --  PAD439
	IO_T5: inout bit; --  PAD430
	IO_T6: inout bit; --  PAD429
	IO_T7: inout bit; --  PAD428
	IO_T8: inout bit; --  PAD427
	IO_T19: inout bit; --  PAD220
	IO_T20: inout bit; --  PAD219
	IO_T21: inout bit; --  PAD218
	IO_T22: inout bit; --  PAD217
	IO_T23: inout bit; --  PAD208
	IO_T25: inout bit; --  PAD216
	IO_T26: inout bit; --  PAD215
	IO_U1: inout bit; --  PAD426
	IO_U2: inout bit; --  PAD425
	IO_U3: inout bit; --  PAD424
	IO_U4: inout bit; --  PAD423
	IO_U5: inout bit; --  PAD422
	IO_U6: inout bit; --  PAD421
	IO_U7: inout bit; --  PAD416
	IO_U20: inout bit; --  PAD231
	IO_U21: inout bit; --  PAD226
	IO_U22: inout bit; --  PAD225
	IO_U23: inout bit; --  PAD224
	IO_U24: inout bit; --  PAD223
	IO_U25: inout bit; --  PAD222
	IO_U26: inout bit; --  PAD221
	IO_V2: inout bit; --  PAD420
	IO_V3: inout bit; --  PAD419
	IO_V4: inout bit; --  PAD418
	IO_V5: inout bit; --  PAD417
	IO_V6: inout bit; --  PAD409
	IO_V7: inout bit; --  PAD415
	IO_V20: inout bit; --  PAD232
	IO_V21: inout bit; --  PAD237
	IO_V22: inout bit; --  PAD230
	IO_V23: inout bit; --  PAD229
	IO_V24: inout bit; --  PAD228
	IO_V25: inout bit; --  PAD227
	IO_W1: inout bit; --  PAD414
	IO_W2: inout bit; --  PAD413
	IO_W3: inout bit; --  PAD412
	IO_W4: inout bit; --  PAD411
	IO_W5: inout bit; --  PAD410
	IO_W6: inout bit; --  PAD408
	IO_W7: inout bit; --  PAD407
	IO_W11: inout bit; --  PAD346
	IO_W12: inout bit; --  PAD338
	IO_W13: inout bit; --  PAD331
	IO_W14: inout bit; --  PAD311
	IO_W15: inout bit; --  PAD309
	IO_W16: inout bit; --  PAD299
	IO_W20: inout bit; --  PAD242
	IO_W21: inout bit; --  PAD241
	IO_W22: inout bit; --  PAD238
	IO_W23: inout bit; --  PAD236
	IO_W24: inout bit; --  PAD235
	IO_W25: inout bit; --  PAD234
	IO_W26: inout bit; --  PAD233
	IO_Y1: inout bit; --  PAD406
	IO_Y2: inout bit; --  PAD405
	IO_Y4: inout bit; --  PAD402
	IO_Y5: inout bit; --  PAD401
	IO_Y6: inout bit; --  PAD398
	IO_Y7: inout bit; --  PAD397
	IO_Y8: inout bit; --  PAD369
	IO_Y9: inout bit; --  PAD361
	IO_Y10: inout bit; --  PAD353
	IO_Y11: inout bit; --  PAD345
	IO_Y12: inout bit; --  PAD337
	IO_Y13: inout bit; --  PAD330
	IO_Y14: inout bit; --  PAD317
	IO_Y15: inout bit; --  PAD310
	IO_Y16: inout bit; --  PAD302
	IO_Y17: inout bit; --  PAD294
	IO_Y18: inout bit; --  PAD287
	IO_Y19: inout bit; --  PAD280
	IO_Y20: inout bit; --  PAD252
	IO_Y21: inout bit; --  PAD251
	IO_Y22: inout bit; --  PAD246
	IO_Y23: inout bit; --  PAD245
	IO_Y25: inout bit; --  PAD240
	IO_Y26: inout bit; --  PAD239
	IO_AA1: inout bit; --  PAD404
	IO_AA2: inout bit; --  PAD403
	IO_AA3: inout bit; --  PAD400
	IO_AA4: inout bit; --  PAD399
	IO_AA6: inout bit; --  PAD383
	IO_AA7: inout bit; --  PAD374
	IO_AA8: inout bit; --  PAD368
	IO_AA9: inout bit; --  PAD360
	IO_AA10: inout bit; --  PAD352
	IO_AA11: inout bit; --  PAD343
	IO_AA12: inout bit; --  PAD336
	IO_AA13: inout bit; --  PAD329
	IO_AA14: inout bit; --  PAD318
	IO_AA15: inout bit; --  PAD312
	IO_AA16: inout bit; --  PAD303
	IO_AA17: inout bit; --  PAD296
	IO_AA18: inout bit; --  PAD288
	IO_AA19: inout bit; --  PAD281
	IO_AA20: inout bit; --  PAD279
	IO_AA21: inout bit; --  PAD258
	IO_AA22: inout bit; --  PAD257
	IO_AA23: inout bit; --  PAD248
	IO_AA24: inout bit; --  PAD247
	IO_AA25: inout bit; --  PAD244
	IO_AA26: inout bit; --  PAD243
	IO_AB1: inout bit; --  PAD396
	IO_AB2: inout bit; --  PAD395
	IO_AB3: inout bit; --  PAD392
	IO_AB4: inout bit; --  PAD391
	IO_AB5: inout bit; --  PAD388
	IO_AB6: inout bit; --  PAD382
	IO_AB7: inout bit; --  PAD373
	IO_AB8: inout bit; --  PAD367
	IO_AB9: inout bit; --  PAD359
	IO_AB10: inout bit; --  PAD351
	IO_AB11: inout bit; --  PAD342
	IO_AB12: inout bit; --  PAD335
	IO_AB13: inout bit; --  PAD328
	IO_AB14: inout bit; --  PAD319
	IO_AB15: inout bit; --  PAD313
	IO_AB16: inout bit; --  PAD305
	IO_AB17: inout bit; --  PAD298
	IO_AB18: inout bit; --  PAD290
	IO_AB19: inout bit; --  PAD282
	IO_AB20: inout bit; --  PAD275
	IO_AB21: inout bit; --  PAD270
	IO_AB22: inout bit; --  PAD260
	IO_AB23: inout bit; --  PAD256
	IO_AB24: inout bit; --  PAD255
	IO_AB25: inout bit; --  PAD250
	IO_AB26: inout bit; --  PAD249
	IO_AC1: inout bit; --  PAD394
	IO_AC2: inout bit; --  PAD393
	IO_AC5: inout bit; --  PAD387
	IO_AC6: inout bit; --  PAD378
	IO_AC7: inout bit; --  PAD372
	IO_AC8: inout bit; --  PAD366
	IO_AC9: inout bit; --  PAD357
	IO_AC10: inout bit; --  PAD350
	IO_AC11: inout bit; --  PAD339
	IO_AC13: inout bit; --  PAD327
	IO_AC14: inout bit; --  PAD320
	IO_AC16: inout bit; --  PAD306
	IO_AC17: inout bit; --  PAD295
	IO_AC18: inout bit; --  PAD291
	IO_AC19: inout bit; --  PAD283
	IO_AC20: inout bit; --  PAD276
	IO_AC21: inout bit; --  PAD271
	IO_AC22: inout bit; --  PAD261
	IO_AC25: inout bit; --  PAD254
	IO_AC26: inout bit; --  PAD253
	IO_AD1: inout bit; --  PAD390
	IO_AD2: inout bit; --  PAD389
	IO_AD4: inout bit; --  PAD386
	IO_AD5: inout bit; --  PAD381
	IO_AD6: inout bit; --  PAD377
	IO_AD8: inout bit; --  PAD365
	IO_AD9: inout bit; --  PAD356
	IO_AD10: inout bit; --  PAD349
	IO_AD12: inout bit; --  PAD334
	IO_AD13: inout bit; --  PAD326
	IO_AD14: inout bit; --  PAD321
	IO_AD15: inout bit; --  PAD314
	IO_AD17: inout bit; --  PAD297
	IO_AD18: inout bit; --  PAD292
	IO_AD19: inout bit; --  PAD284
	IO_AD21: inout bit; --  PAD272
	IO_AD22: inout bit; --  PAD267
	IO_AD23: inout bit; --  PAD264
	IO_AD25: inout bit; --  PAD259
	IO_AE4: inout bit; --  PAD385
	IO_AE5: inout bit; --  PAD380
	IO_AE6: inout bit; --  PAD376
	IO_AE7: inout bit; --  PAD371
	IO_AE8: inout bit; --  PAD363
	IO_AE9: inout bit; --  PAD355
	IO_AE10: inout bit; --  PAD348
	IO_AE11: inout bit; --  PAD341
	IO_AE12: inout bit; --  PAD333
	IO_AE13: inout bit; --  PAD325
	IO_AE14: inout bit; --  PAD322
	IO_AE15: inout bit; --  PAD315
	IO_AE16: inout bit; --  PAD307
	IO_AE17: inout bit; --  PAD300
	IO_AE18: inout bit; --  PAD293
	IO_AE19: inout bit; --  PAD285
	IO_AE20: inout bit; --  PAD277
	IO_AE21: inout bit; --  PAD273
	IO_AE22: inout bit; --  PAD268
	IO_AE23: inout bit; --  PAD265
	IO_AE24: inout bit; --  PAD262
	IO_AF4: inout bit; --  PAD384
	IO_AF5: inout bit; --  PAD379
	IO_AF6: inout bit; --  PAD375
	IO_AF7: inout bit; --  PAD370
	IO_AF8: inout bit; --  PAD362
	IO_AF10: inout bit; --  PAD347
	IO_AF11: inout bit; --  PAD340
	IO_AF12: inout bit; --  PAD332
	IO_AF13: inout bit; --  PAD324
	IO_AF14: inout bit; --  PAD323
	IO_AF15: inout bit; --  PAD316
	IO_AF16: inout bit; --  PAD308
	IO_AF17: inout bit; --  PAD301
	IO_AF19: inout bit; --  PAD286
	IO_AF20: inout bit; --  PAD278
	IO_AF21: inout bit; --  PAD274
	IO_AF22: inout bit; --  PAD269
	IO_AF23: inout bit; --  PAD266
	IO_AF24: inout bit --  PAD263
); --end port list

use STD_1149_1_1994.all;

attribute COMPONENT_CONFORMANCE of XC3S1500_FG676 : entity is
	"STD_1149_1_1993";

attribute PIN_MAP of XC3S1500_FG676 : entity is PHYSICAL_PIN_MAP;

constant FG676: PIN_MAP_STRING:=
	"GND:(A1,A26,B2,B25,C3,C24,D4,D12,D15,D23," &
		"K11,K12,K15,K16,L10,L11,L12,L13,L14,L15," &
		"L16,L17,M4,M10,M11,M12,M13,M14,M15,M16," &
		"M17,M23,N11,N12,N13,N14,N15,N16,P11,P12," &
		"P13,P14,P15,P16,R4,R10,R11,R12,R13,R14," &
		"R15,R16,R17,R23,T10,T11,T12,T13,T14,T15," &
		"T16,T17,U11,U12,U15,U16,AC4,AC12,AC15,AC23," &
		"AD3,AD24,AE2,AE25,AF1,AF26)," &
	"CCLK_AD26:AD26," &
	"DONE_AC24:AC24," &
	"HSWAP_EN_C2:C2," &
	"M0_AE3:AE3," &
	"M1_AC3:AC3," &
	"M2_AF3:AF3," &
	"PROG_B:D3," &
	"TCK:B24," &
	"TDI:C1," &
	"TDO:D24," &
	"TMS:A24," &
	"VCCAUX:(A2,A9,A18,A25,B1,B26,J1,J26,V1,V26," &
		"AE1,AE26,AF2,AF9,AF18,AF25)," &
	"VCCINT:(H8,H19,J9,J10,J17,J18,K9,K10,K17,K18," &
		"U9,U10,U17,U18,V9,V10,V17,V18,W8,W19)," &
	"VCCO0:(C7,C11,H9,H10,J11,J12,J13,K13)," &
	"VCCO1:(C16,C20,H17,H18,J14,J15,J16,K14)," &
	"VCCO2:(G24,J19,K19,L18,L24,M18,N17,N18)," &
	"VCCO3:(P17,P18,R18,T18,T24,U19,V19,Y24)," &
	"VCCO4:(U14,V14,V15,V16,W17,W18,AD16,AD20)," &
	"VCCO5:(U13,V11,V12,V13,W9,W10,AD7,AD11)," &
	"VCCO6:(P9,P10,R9,T3,T9,U8,V8,Y3)," &
	"VCCO7:(G3,J8,K8,L3,L9,M9,N9,N10)," &
	"IO_A3:A3," &
	"IO_A4:A4," &
	"IO_A5:A5," &
	"IO_A6:A6," &
	"IO_A7:A7," &
	"IO_A8:A8," &
	"IO_A10:A10," &
	"IO_A11:A11," &
	"IO_A12:A12," &
	"IO_A13:A13," &
	"IO_A14:A14," &
	"IO_A15:A15," &
	"IO_A16:A16," &
	"IO_A17:A17," &
	"IO_A19:A19," &
	"IO_A20:A20," &
	"IO_A21:A21," &
	"IO_A22:A22," &
	"IO_A23:A23," &
	"IO_B3:B3," &
	"IO_B4:B4," &
	"IO_B5:B5," &
	"IO_B6:B6," &
	"IO_B7:B7," &
	"IO_B8:B8," &
	"IO_B9:B9," &
	"IO_B10:B10," &
	"IO_B11:B11," &
	"IO_B12:B12," &
	"IO_B13:B13," &
	"IO_B14:B14," &
	"IO_B15:B15," &
	"IO_B16:B16," &
	"IO_B17:B17," &
	"IO_B18:B18," &
	"IO_B19:B19," &
	"IO_B20:B20," &
	"IO_B21:B21," &
	"IO_B22:B22," &
	"IO_B23:B23," &
	"IO_C4:C4," &
	"IO_C5:C5," &
	"IO_C6:C6," &
	"IO_C8:C8," &
	"IO_C9:C9," &
	"IO_C10:C10," &
	"IO_C12:C12," &
	"IO_C13:C13," &
	"IO_C14:C14," &
	"IO_C15:C15," &
	"IO_C17:C17," &
	"IO_C18:C18," &
	"IO_C19:C19," &
	"IO_C21:C21," &
	"IO_C22:C22," &
	"IO_C23:C23," &
	"IO_C25:C25," &
	"IO_C26:C26," &
	"IO_D1:D1," &
	"IO_D2:D2," &
	"IO_D5:D5," &
	"IO_D6:D6," &
	"IO_D7:D7," &
	"IO_D8:D8," &
	"IO_D9:D9," &
	"IO_D10:D10," &
	"IO_D11:D11," &
	"IO_D13:D13," &
	"IO_D14:D14," &
	"IO_D16:D16," &
	"IO_D17:D17," &
	"IO_D18:D18," &
	"IO_D19:D19," &
	"IO_D20:D20," &
	"IO_D21:D21," &
	"IO_D22:D22," &
	"IO_D25:D25," &
	"IO_D26:D26," &
	"IO_E1:E1," &
	"IO_E2:E2," &
	"IO_E3:E3," &
	"IO_E4:E4," &
	"IO_E5:E5," &
	"IO_E6:E6," &
	"IO_E7:E7," &
	"IO_E8:E8," &
	"IO_E9:E9," &
	"IO_E10:E10," &
	"IO_E11:E11," &
	"IO_E12:E12," &
	"IO_E13:E13," &
	"IO_E14:E14," &
	"IO_E15:E15," &
	"IO_E16:E16," &
	"IO_E17:E17," &
	"IO_E18:E18," &
	"IO_E19:E19," &
	"IO_E20:E20," &
	"IO_E21:E21," &
	"IO_E22:E22," &
	"IO_E23:E23," &
	"IO_E24:E24," &
	"IO_E25:E25," &
	"IO_E26:E26," &
	"IO_F1:F1," &
	"IO_F2:F2," &
	"IO_F3:F3," &
	"IO_F4:F4," &
	"IO_F5:F5," &
	"IO_F6:F6," &
	"IO_F7:F7," &
	"IO_F8:F8," &
	"IO_F9:F9," &
	"IO_F10:F10," &
	"IO_F11:F11," &
	"IO_F12:F12," &
	"IO_F13:F13," &
	"IO_F14:F14," &
	"IO_F15:F15," &
	"IO_F16:F16," &
	"IO_F17:F17," &
	"IO_F18:F18," &
	"IO_F19:F19," &
	"IO_F20:F20," &
	"IO_F21:F21," &
	"IO_F23:F23," &
	"IO_F24:F24," &
	"IO_F25:F25," &
	"IO_F26:F26," &
	"IO_G1:G1," &
	"IO_G2:G2," &
	"IO_G4:G4," &
	"IO_G5:G5," &
	"IO_G6:G6," &
	"IO_G7:G7," &
	"IO_G8:G8," &
	"IO_G9:G9," &
	"IO_G10:G10," &
	"IO_G11:G11," &
	"IO_G12:G12," &
	"IO_G13:G13," &
	"IO_G14:G14," &
	"IO_G15:G15," &
	"IO_G16:G16," &
	"IO_G17:G17," &
	"IO_G18:G18," &
	"IO_G19:G19," &
	"IO_G20:G20," &
	"IO_G21:G21," &
	"IO_G22:G22," &
	"IO_G23:G23," &
	"IO_G25:G25," &
	"IO_G26:G26," &
	"IO_H1:H1," &
	"IO_H2:H2," &
	"IO_H3:H3," &
	"IO_H4:H4," &
	"IO_H5:H5," &
	"IO_H6:H6," &
	"IO_H7:H7," &
	"IO_H11:H11," &
	"IO_H12:H12," &
	"IO_H13:H13," &
	"IO_H14:H14," &
	"IO_H15:H15," &
	"IO_H16:H16," &
	"IO_H20:H20," &
	"IO_H21:H21," &
	"IO_H22:H22," &
	"IO_H23:H23," &
	"IO_H24:H24," &
	"IO_H25:H25," &
	"IO_H26:H26," &
	"IO_J2:J2," &
	"IO_J3:J3," &
	"IO_J4:J4," &
	"IO_J5:J5," &
	"IO_J6:J6," &
	"IO_J7:J7," &
	"IO_J20:J20," &
	"IO_J21:J21," &
	"IO_J22:J22," &
	"IO_J23:J23," &
	"IO_J24:J24," &
	"IO_J25:J25," &
	"IO_K1:K1," &
	"IO_K2:K2," &
	"IO_K3:K3," &
	"IO_K4:K4," &
	"IO_K5:K5," &
	"IO_K6:K6," &
	"IO_K7:K7," &
	"IO_K20:K20," &
	"IO_K21:K21," &
	"IO_K22:K22," &
	"IO_K23:K23," &
	"IO_K24:K24," &
	"IO_K25:K25," &
	"IO_K26:K26," &
	"IO_L1:L1," &
	"IO_L2:L2," &
	"IO_L4:L4," &
	"IO_L5:L5," &
	"IO_L6:L6," &
	"IO_L7:L7," &
	"IO_L8:L8," &
	"IO_L19:L19," &
	"IO_L20:L20," &
	"IO_L21:L21," &
	"IO_L22:L22," &
	"IO_L23:L23," &
	"IO_L25:L25," &
	"IO_L26:L26," &
	"IO_M1:M1," &
	"IO_M2:M2," &
	"IO_M3:M3," &
	"IO_M5:M5," &
	"IO_M6:M6," &
	"IO_M7:M7," &
	"IO_M8:M8," &
	"IO_M19:M19," &
	"IO_M20:M20," &
	"IO_M21:M21," &
	"IO_M22:M22," &
	"IO_M24:M24," &
	"IO_M25:M25," &
	"IO_M26:M26," &
	"IO_N1:N1," &
	"IO_N2:N2," &
	"IO_N3:N3," &
	"IO_N4:N4," &
	"IO_N5:N5," &
	"IO_N6:N6," &
	"IO_N7:N7," &
	"IO_N8:N8," &
	"IO_N19:N19," &
	"IO_N20:N20," &
	"IO_N21:N21," &
	"IO_N22:N22," &
	"IO_N23:N23," &
	"IO_N24:N24," &
	"IO_N25:N25," &
	"IO_N26:N26," &
	"IO_P1:P1," &
	"IO_P2:P2," &
	"IO_P3:P3," &
	"IO_P4:P4," &
	"IO_P5:P5," &
	"IO_P6:P6," &
	"IO_P7:P7," &
	"IO_P8:P8," &
	"IO_P19:P19," &
	"IO_P20:P20," &
	"IO_P21:P21," &
	"IO_P22:P22," &
	"IO_P23:P23," &
	"IO_P24:P24," &
	"IO_P25:P25," &
	"IO_P26:P26," &
	"IO_R1:R1," &
	"IO_R2:R2," &
	"IO_R3:R3," &
	"IO_R5:R5," &
	"IO_R6:R6," &
	"IO_R7:R7," &
	"IO_R8:R8," &
	"IO_R19:R19," &
	"IO_R20:R20," &
	"IO_R21:R21," &
	"IO_R22:R22," &
	"IO_R24:R24," &
	"IO_R25:R25," &
	"IO_R26:R26," &
	"IO_T1:T1," &
	"IO_T2:T2," &
	"IO_T4:T4," &
	"IO_T5:T5," &
	"IO_T6:T6," &
	"IO_T7:T7," &
	"IO_T8:T8," &
	"IO_T19:T19," &
	"IO_T20:T20," &
	"IO_T21:T21," &
	"IO_T22:T22," &
	"IO_T23:T23," &
	"IO_T25:T25," &
	"IO_T26:T26," &
	"IO_U1:U1," &
	"IO_U2:U2," &
	"IO_U3:U3," &
	"IO_U4:U4," &
	"IO_U5:U5," &
	"IO_U6:U6," &
	"IO_U7:U7," &
	"IO_U20:U20," &
	"IO_U21:U21," &
	"IO_U22:U22," &
	"IO_U23:U23," &
	"IO_U24:U24," &
	"IO_U25:U25," &
	"IO_U26:U26," &
	"IO_V2:V2," &
	"IO_V3:V3," &
	"IO_V4:V4," &
	"IO_V5:V5," &
	"IO_V6:V6," &
	"IO_V7:V7," &
	"IO_V20:V20," &
	"IO_V21:V21," &
	"IO_V22:V22," &
	"IO_V23:V23," &
	"IO_V24:V24," &
	"IO_V25:V25," &
	"IO_W1:W1," &
	"IO_W2:W2," &
	"IO_W3:W3," &
	"IO_W4:W4," &
	"IO_W5:W5," &
	"IO_W6:W6," &
	"IO_W7:W7," &
	"IO_W11:W11," &
	"IO_W12:W12," &
	"IO_W13:W13," &
	"IO_W14:W14," &
	"IO_W15:W15," &
	"IO_W16:W16," &
	"IO_W20:W20," &
	"IO_W21:W21," &
	"IO_W22:W22," &
	"IO_W23:W23," &
	"IO_W24:W24," &
	"IO_W25:W25," &
	"IO_W26:W26," &
	"IO_Y1:Y1," &
	"IO_Y2:Y2," &
	"IO_Y4:Y4," &
	"IO_Y5:Y5," &
	"IO_Y6:Y6," &
	"IO_Y7:Y7," &
	"IO_Y8:Y8," &
	"IO_Y9:Y9," &
	"IO_Y10:Y10," &
	"IO_Y11:Y11," &
	"IO_Y12:Y12," &
	"IO_Y13:Y13," &
	"IO_Y14:Y14," &
	"IO_Y15:Y15," &
	"IO_Y16:Y16," &
	"IO_Y17:Y17," &
	"IO_Y18:Y18," &
	"IO_Y19:Y19," &
	"IO_Y20:Y20," &
	"IO_Y21:Y21," &
	"IO_Y22:Y22," &
	"IO_Y23:Y23," &
	"IO_Y25:Y25," &
	"IO_Y26:Y26," &
	"IO_AA1:AA1," &
	"IO_AA2:AA2," &
	"IO_AA3:AA3," &
	"IO_AA4:AA4," &
	"IO_AA6:AA6," &
	"IO_AA7:AA7," &
	"IO_AA8:AA8," &
	"IO_AA9:AA9," &
	"IO_AA10:AA10," &
	"IO_AA11:AA11," &
	"IO_AA12:AA12," &
	"IO_AA13:AA13," &
	"IO_AA14:AA14," &
	"IO_AA15:AA15," &
	"IO_AA16:AA16," &
	"IO_AA17:AA17," &
	"IO_AA18:AA18," &
	"IO_AA19:AA19," &
	"IO_AA20:AA20," &
	"IO_AA21:AA21," &
	"IO_AA22:AA22," &
	"IO_AA23:AA23," &
	"IO_AA24:AA24," &
	"IO_AA25:AA25," &
	"IO_AA26:AA26," &
	"IO_AB1:AB1," &
	"IO_AB2:AB2," &
	"IO_AB3:AB3," &
	"IO_AB4:AB4," &
	"IO_AB5:AB5," &
	"IO_AB6:AB6," &
	"IO_AB7:AB7," &
	"IO_AB8:AB8," &
	"IO_AB9:AB9," &
	"IO_AB10:AB10," &
	"IO_AB11:AB11," &
	"IO_AB12:AB12," &
	"IO_AB13:AB13," &
	"IO_AB14:AB14," &
	"IO_AB15:AB15," &
	"IO_AB16:AB16," &
	"IO_AB17:AB17," &
	"IO_AB18:AB18," &
	"IO_AB19:AB19," &
	"IO_AB20:AB20," &
	"IO_AB21:AB21," &
	"IO_AB22:AB22," &
	"IO_AB23:AB23," &
	"IO_AB24:AB24," &
	"IO_AB25:AB25," &
	"IO_AB26:AB26," &
	"IO_AC1:AC1," &
	"IO_AC2:AC2," &
	"IO_AC5:AC5," &
	"IO_AC6:AC6," &
	"IO_AC7:AC7," &
	"IO_AC8:AC8," &
	"IO_AC9:AC9," &
	"IO_AC10:AC10," &
	"IO_AC11:AC11," &
	"IO_AC13:AC13," &
	"IO_AC14:AC14," &
	"IO_AC16:AC16," &
	"IO_AC17:AC17," &
	"IO_AC18:AC18," &
	"IO_AC19:AC19," &
	"IO_AC20:AC20," &
	"IO_AC21:AC21," &
	"IO_AC22:AC22," &
	"IO_AC25:AC25," &
	"IO_AC26:AC26," &
	"IO_AD1:AD1," &
	"IO_AD2:AD2," &
	"IO_AD4:AD4," &
	"IO_AD5:AD5," &
	"IO_AD6:AD6," &
	"IO_AD8:AD8," &
	"IO_AD9:AD9," &
	"IO_AD10:AD10," &
	"IO_AD12:AD12," &
	"IO_AD13:AD13," &
	"IO_AD14:AD14," &
	"IO_AD15:AD15," &
	"IO_AD17:AD17," &
	"IO_AD18:AD18," &
	"IO_AD19:AD19," &
	"IO_AD21:AD21," &
	"IO_AD22:AD22," &
	"IO_AD23:AD23," &
	"IO_AD25:AD25," &
	"IO_AE4:AE4," &
	"IO_AE5:AE5," &
	"IO_AE6:AE6," &
	"IO_AE7:AE7," &
	"IO_AE8:AE8," &
	"IO_AE9:AE9," &
	"IO_AE10:AE10," &
	"IO_AE11:AE11," &
	"IO_AE12:AE12," &
	"IO_AE13:AE13," &
	"IO_AE14:AE14," &
	"IO_AE15:AE15," &
	"IO_AE16:AE16," &
	"IO_AE17:AE17," &
	"IO_AE18:AE18," &
	"IO_AE19:AE19," &
	"IO_AE20:AE20," &
	"IO_AE21:AE21," &
	"IO_AE22:AE22," &
	"IO_AE23:AE23," &
	"IO_AE24:AE24," &
	"IO_AF4:AF4," &
	"IO_AF5:AF5," &
	"IO_AF6:AF6," &
	"IO_AF7:AF7," &
	"IO_AF8:AF8," &
	"IO_AF10:AF10," &
	"IO_AF11:AF11," &
	"IO_AF12:AF12," &
	"IO_AF13:AF13," &
	"IO_AF14:AF14," &
	"IO_AF15:AF15," &
	"IO_AF16:AF16," &
	"IO_AF17:AF17," &
	"IO_AF19:AF19," &
	"IO_AF20:AF20," &
	"IO_AF21:AF21," &
	"IO_AF22:AF22," &
	"IO_AF23:AF23," &
	"IO_AF24:AF24";


attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);

attribute COMPLIANCE_PATTERNS of XC3S1500_FG676 : entity is
        "(PROG_B) (1)";

attribute INSTRUCTION_LENGTH of XC3S1500_FG676 : entity is 6;

attribute INSTRUCTION_OPCODE of XC3S1500_FG676 : entity is
        "EXTEST    (000000)," &
        "SAMPLE    (000001)," &
        "USER1     (000010)," & -- Not available until after configuration
        "USER2     (000011)," & -- Not available until after configuration
        "CFG_OUT   (000100)," & -- Not available during configuration with another mode.
        "CFG_IN    (000101)," & -- Not available during configuration with another mode.
        "INTEST    (000111)," &
        "USERCODE  (001000)," &
        "IDCODE    (001001)," &
        "HIGHZ     (001010)," &
        "JPROGRAM  (001011)," & -- Not available during configuration with another mode.
        "JSTART    (001100)," & -- Not available during configuration with another mode.
        "JSHUTDOWN (001101)," & -- Not available during configuration with another mode.
        "BYPASS    (111111)," &
	"ISC_ENABLE           (010000)," &
	"ISC_PROGRAM          (010001)," &
	"ISC_NOOP             (010100)," &
	"ISC_READ             (010101)," &
	"ISC_DISABLE          (010110)";

attribute INSTRUCTION_CAPTURE of XC3S1500_FG676 : entity is
-- Bit 5 is 1 when DONE is released (part of startup sequence)
-- Bit 4 is 1 if house-cleaning is complete
-- Bit 3 is ISC_Enabled
-- Bit 2 is ISC_Done
        "XXXX01";

attribute INSTRUCTION_PRIVATE of XC3S1500_FG676 : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
        "USER1," &
        "USER2," &
        "CFG_OUT," &
        "CFG_IN," &
        "JPROGRAM," &
        "JSTART," &
        "JSHUTDOWN," &
	"ISC_ENABLE," &
	"ISC_PROGRAM," &
	"ISC_NOOP," &
	"ISC_READ," &
	"ISC_DISABLE";

 
attribute IDCODE_REGISTER of XC3S1500_FG676 : entity is
	"XXXX" &	-- version
	"0001010" &	-- family
	"000110100" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1

 

attribute USERCODE_REGISTER of XC3S1500_FG676 : entity is
        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

attribute REGISTER_ACCESS of XC3S1500_FG676 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
        "BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,INTEST,EXTEST)";

attribute BOUNDARY_LENGTH of XC3S1500_FG676 : entity is 1559;

attribute BOUNDARY_REGISTER of XC3S1500_FG676 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_2, *, controlr, 1)," &
	"   1 (BC_2, IO_E22, output3, X, 0, 1, PULL0)," & --  PAD130
	"   2 (BC_2, IO_E22, input, X)," & --  PAD130
	"   3 (BC_2, *, controlr, 1)," &
	"   4 (BC_2, IO_D22, output3, X, 3, 1, PULL0)," & --  PAD129
	"   5 (BC_2, IO_D22, input, X)," & --  PAD129
	"   6 (BC_2, *, controlr, 1)," &
	"   7 (BC_2, IO_C23, output3, X, 6, 1, PULL0)," & --  PAD128
	"   8 (BC_2, IO_C23, input, X)," & --  PAD128
	"   9 (BC_2, *, controlr, 1)," &
	"  10 (BC_2, IO_B23, output3, X, 9, 1, PULL0)," & --  PAD127
	"  11 (BC_2, IO_B23, input, X)," & --  PAD127
	"  12 (BC_2, *, controlr, 1)," &
	"  13 (BC_2, IO_A23, output3, X, 12, 1, PULL0)," & --  PAD126
	"  14 (BC_2, IO_A23, input, X)," & --  PAD126
	"  15 (BC_2, *, controlr, 1)," &
	"  16 (BC_2, IO_F21, output3, X, 15, 1, PULL0)," & --  PAD125
	"  17 (BC_2, IO_F21, input, X)," & --  PAD125
	"  18 (BC_2, *, controlr, 1)," &
	"  19 (BC_2, IO_E21, output3, X, 18, 1, PULL0)," & --  PAD124
	"  20 (BC_2, IO_E21, input, X)," & --  PAD124
	"  21 (BC_2, *, controlr, 1)," &
	"  22 (BC_2, IO_C22, output3, X, 21, 1, PULL0)," & --  PAD123
	"  23 (BC_2, IO_C22, input, X)," & --  PAD123
	"  24 (BC_2, *, controlr, 1)," &
	"  25 (BC_2, IO_B22, output3, X, 24, 1, PULL0)," & --  PAD122
	"  26 (BC_2, IO_B22, input, X)," & --  PAD122
	"  27 (BC_2, *, controlr, 1)," &
	"  28 (BC_2, IO_A22, output3, X, 27, 1, PULL0)," & --  PAD121
	"  29 (BC_2, IO_A22, input, X)," & --  PAD121
	"  30 (BC_2, *, controlr, 1)," &
	"  31 (BC_2, IO_D21, output3, X, 30, 1, PULL0)," & --  PAD120
	"  32 (BC_2, IO_D21, input, X)," & --  PAD120
	"  33 (BC_2, *, controlr, 1)," &
	"  34 (BC_2, IO_C21, output3, X, 33, 1, PULL0)," & --  PAD119
	"  35 (BC_2, IO_C21, input, X)," & --  PAD119
	"  36 (BC_2, *, controlr, 1)," &
	"  37 (BC_2, IO_B21, output3, X, 36, 1, PULL0)," & --  PAD118
	"  38 (BC_2, IO_B21, input, X)," & --  PAD118
	"  39 (BC_2, *, controlr, 1)," &
	"  40 (BC_2, IO_A21, output3, X, 39, 1, PULL0)," & --  PAD117
	"  41 (BC_2, IO_A21, input, X)," & --  PAD117
	"  42 (BC_2, *, controlr, 1)," &
	"  43 (BC_2, IO_F20, output3, X, 42, 1, PULL0)," & --  PAD116
	"  44 (BC_2, IO_F20, input, X)," & --  PAD116
	"  45 (BC_2, *, controlr, 1)," &
	"  46 (BC_2, IO_E20, output3, X, 45, 1, PULL0)," & --  PAD115
	"  47 (BC_2, IO_E20, input, X)," & --  PAD115
	"  48 (BC_2, *, controlr, 1)," &
	"  49 (BC_2, IO_D20, output3, X, 48, 1, PULL0)," & --  PAD114
	"  50 (BC_2, IO_D20, input, X)," & --  PAD114
	"  51 (BC_2, *, controlr, 1)," &
	"  52 (BC_2, IO_B20, output3, X, 51, 1, PULL0)," & --  PAD113
	"  53 (BC_2, IO_B20, input, X)," & --  PAD113
	"  54 (BC_2, *, controlr, 1)," &
	"  55 (BC_2, IO_A20, output3, X, 54, 1, PULL0)," & --  PAD112
	"  56 (BC_2, IO_A20, input, X)," & --  PAD112
	"  57 (BC_2, *, controlr, 1)," &
	"  58 (BC_2, IO_G19, output3, X, 57, 1, PULL0)," & --  PAD111
	"  59 (BC_2, IO_G19, input, X)," & --  PAD111
	"  60 (BC_2, *, controlr, 1)," &
	"  61 (BC_2, IO_F19, output3, X, 60, 1, PULL0)," & --  PAD110
	"  62 (BC_2, IO_F19, input, X)," & --  PAD110
	"  63 (BC_2, *, controlr, 1)," &
	"  64 (BC_2, IO_E19, output3, X, 63, 1, PULL0)," & --  PAD109
	"  65 (BC_2, IO_E19, input, X)," & --  PAD109
	"  66 (BC_2, *, controlr, 1)," &
	"  67 (BC_2, IO_D19, output3, X, 66, 1, PULL0)," & --  PAD108
	"  68 (BC_2, IO_D19, input, X)," & --  PAD108
	"  69 (BC_2, *, controlr, 1)," &
	"  70 (BC_2, IO_C19, output3, X, 69, 1, PULL0)," & --  PAD107
	"  71 (BC_2, IO_C19, input, X)," & --  PAD107
	"  72 (BC_2, *, internal, 1)," & -- PAD106.T
	"  73 (BC_2, *, internal, X)," & -- PAD106.O
	"  74 (BC_2, *, internal, X)," & -- PAD106.I
	"  75 (BC_2, *, controlr, 1)," &
	"  76 (BC_2, IO_B19, output3, X, 75, 1, PULL0)," & --  PAD105
	"  77 (BC_2, IO_B19, input, X)," & --  PAD105
	"  78 (BC_2, *, controlr, 1)," &
	"  79 (BC_2, IO_A19, output3, X, 78, 1, PULL0)," & --  PAD104
	"  80 (BC_2, IO_A19, input, X)," & --  PAD104
	"  81 (BC_2, *, controlr, 1)," &
	"  82 (BC_2, IO_G18, output3, X, 81, 1, PULL0)," & --  PAD103
	"  83 (BC_2, IO_G18, input, X)," & --  PAD103
	"  84 (BC_2, *, controlr, 1)," &
	"  85 (BC_2, IO_F18, output3, X, 84, 1, PULL0)," & --  PAD102
	"  86 (BC_2, IO_F18, input, X)," & --  PAD102
	"  87 (BC_2, *, controlr, 1)," &
	"  88 (BC_2, IO_E18, output3, X, 87, 1, PULL0)," & --  PAD101
	"  89 (BC_2, IO_E18, input, X)," & --  PAD101
	"  90 (BC_2, *, internal, 1)," & -- PAD100.T
	"  91 (BC_2, *, internal, X)," & -- PAD100.O
	"  92 (BC_2, *, internal, X)," & -- PAD100.I
	"  93 (BC_2, *, controlr, 1)," &
	"  94 (BC_2, IO_D18, output3, X, 93, 1, PULL0)," & --  PAD99
	"  95 (BC_2, IO_D18, input, X)," & --  PAD99
	"  96 (BC_2, *, controlr, 1)," &
	"  97 (BC_2, IO_C18, output3, X, 96, 1, PULL0)," & --  PAD98
	"  98 (BC_2, IO_C18, input, X)," & --  PAD98
	"  99 (BC_2, *, controlr, 1)," &
	" 100 (BC_2, IO_B18, output3, X, 99, 1, PULL0)," & --  PAD97
	" 101 (BC_2, IO_B18, input, X)," & --  PAD97
	" 102 (BC_2, *, internal, 1)," & -- PAD96.T
	" 103 (BC_2, *, internal, X)," & -- PAD96.O
	" 104 (BC_2, *, internal, X)," & -- PAD96.I
	" 105 (BC_2, *, controlr, 1)," &
	" 106 (BC_2, IO_G17, output3, X, 105, 1, PULL0)," & --  PAD95
	" 107 (BC_2, IO_G17, input, X)," & --  PAD95
	" 108 (BC_2, *, controlr, 1)," &
	" 109 (BC_2, IO_F17, output3, X, 108, 1, PULL0)," & --  PAD94
	" 110 (BC_2, IO_F17, input, X)," & --  PAD94
	" 111 (BC_2, *, controlr, 1)," &
	" 112 (BC_2, IO_E17, output3, X, 111, 1, PULL0)," & --  PAD93
	" 113 (BC_2, IO_E17, input, X)," & --  PAD93
	" 114 (BC_2, *, controlr, 1)," &
	" 115 (BC_2, IO_D17, output3, X, 114, 1, PULL0)," & --  PAD92
	" 116 (BC_2, IO_D17, input, X)," & --  PAD92
	" 117 (BC_2, *, controlr, 1)," &
	" 118 (BC_2, IO_C17, output3, X, 117, 1, PULL0)," & --  PAD91
	" 119 (BC_2, IO_C17, input, X)," & --  PAD91
	" 120 (BC_2, *, controlr, 1)," &
	" 121 (BC_2, IO_B17, output3, X, 120, 1, PULL0)," & --  PAD90
	" 122 (BC_2, IO_B17, input, X)," & --  PAD90
	" 123 (BC_2, *, controlr, 1)," &
	" 124 (BC_2, IO_A17, output3, X, 123, 1, PULL0)," & --  PAD89
	" 125 (BC_2, IO_A17, input, X)," & --  PAD89
	" 126 (BC_2, *, controlr, 1)," &
	" 127 (BC_2, IO_H16, output3, X, 126, 1, PULL0)," & --  PAD88
	" 128 (BC_2, IO_H16, input, X)," & --  PAD88
	" 129 (BC_2, *, controlr, 1)," &
	" 130 (BC_2, IO_G16, output3, X, 129, 1, PULL0)," & --  PAD87
	" 131 (BC_2, IO_G16, input, X)," & --  PAD87
	" 132 (BC_2, *, internal, 1)," & -- PAD86.T
	" 133 (BC_2, *, internal, X)," & -- PAD86.O
	" 134 (BC_2, *, internal, X)," & -- PAD86.I
	" 135 (BC_2, *, controlr, 1)," &
	" 136 (BC_2, IO_F16, output3, X, 135, 1, PULL0)," & --  PAD85
	" 137 (BC_2, IO_F16, input, X)," & --  PAD85
	" 138 (BC_2, *, controlr, 1)," &
	" 139 (BC_2, IO_E16, output3, X, 138, 1, PULL0)," & --  PAD84
	" 140 (BC_2, IO_E16, input, X)," & --  PAD84
	" 141 (BC_2, *, controlr, 1)," &
	" 142 (BC_2, IO_B16, output3, X, 141, 1, PULL0)," & --  PAD83
	" 143 (BC_2, IO_B16, input, X)," & --  PAD83
	" 144 (BC_2, *, controlr, 1)," &
	" 145 (BC_2, IO_A16, output3, X, 144, 1, PULL0)," & --  PAD82
	" 146 (BC_2, IO_A16, input, X)," & --  PAD82
	" 147 (BC_2, *, controlr, 1)," &
	" 148 (BC_2, IO_D16, output3, X, 147, 1, PULL0)," & --  PAD81
	" 149 (BC_2, IO_D16, input, X)," & --  PAD81
	" 150 (BC_2, *, controlr, 1)," &
	" 151 (BC_2, IO_H15, output3, X, 150, 1, PULL0)," & --  PAD80
	" 152 (BC_2, IO_H15, input, X)," & --  PAD80
	" 153 (BC_2, *, controlr, 1)," &
	" 154 (BC_2, IO_G15, output3, X, 153, 1, PULL0)," & --  PAD79
	" 155 (BC_2, IO_G15, input, X)," & --  PAD79
	" 156 (BC_2, *, controlr, 1)," &
	" 157 (BC_2, IO_F15, output3, X, 156, 1, PULL0)," & --  PAD78
	" 158 (BC_2, IO_F15, input, X)," & --  PAD78
	" 159 (BC_2, *, controlr, 1)," &
	" 160 (BC_2, IO_E15, output3, X, 159, 1, PULL0)," & --  PAD77
	" 161 (BC_2, IO_E15, input, X)," & --  PAD77
	" 162 (BC_2, *, controlr, 1)," &
	" 163 (BC_2, IO_C15, output3, X, 162, 1, PULL0)," & --  PAD76
	" 164 (BC_2, IO_C15, input, X)," & --  PAD76
	" 165 (BC_2, *, controlr, 1)," &
	" 166 (BC_2, IO_B15, output3, X, 165, 1, PULL0)," & --  PAD75
	" 167 (BC_2, IO_B15, input, X)," & --  PAD75
	" 168 (BC_2, *, controlr, 1)," &
	" 169 (BC_2, IO_A15, output3, X, 168, 1, PULL0)," & --  PAD74
	" 170 (BC_2, IO_A15, input, X)," & --  PAD74
	" 171 (BC_2, *, controlr, 1)," &
	" 172 (BC_2, IO_H14, output3, X, 171, 1, PULL0)," & --  PAD73
	" 173 (BC_2, IO_H14, input, X)," & --  PAD73
	" 174 (BC_2, *, controlr, 1)," &
	" 175 (BC_2, IO_G14, output3, X, 174, 1, PULL0)," & --  PAD72
	" 176 (BC_2, IO_G14, input, X)," & --  PAD72
	" 177 (BC_2, *, controlr, 1)," &
	" 178 (BC_2, IO_F14, output3, X, 177, 1, PULL0)," & --  PAD71
	" 179 (BC_2, IO_F14, input, X)," & --  PAD71
	" 180 (BC_2, *, controlr, 1)," &
	" 181 (BC_2, IO_E14, output3, X, 180, 1, PULL0)," & --  PAD70
	" 182 (BC_2, IO_E14, input, X)," & --  PAD70
	" 183 (BC_2, *, controlr, 1)," &
	" 184 (BC_2, IO_D14, output3, X, 183, 1, PULL0)," & --  PAD69
	" 185 (BC_2, IO_D14, input, X)," & --  PAD69
	" 186 (BC_2, *, controlr, 1)," &
	" 187 (BC_2, IO_C14, output3, X, 186, 1, PULL0)," & --  PAD68
	" 188 (BC_2, IO_C14, input, X)," & --  PAD68
	" 189 (BC_2, *, controlr, 1)," &
	" 190 (BC_2, IO_B14, output3, X, 189, 1, PULL0)," & --  PAD67
	" 191 (BC_2, IO_B14, input, X)," & --  PAD67
	" 192 (BC_2, *, controlr, 1)," &
	" 193 (BC_2, IO_A14, output3, X, 192, 1, PULL0)," & --  PAD66
	" 194 (BC_2, IO_A14, input, X)," & --  PAD66
	" 195 (BC_2, *, controlr, 1)," &
	" 196 (BC_2, IO_A13, output3, X, 195, 1, PULL0)," & --  PAD65
	" 197 (BC_2, IO_A13, input, X)," & --  PAD65
	" 198 (BC_2, *, controlr, 1)," &
	" 199 (BC_2, IO_B13, output3, X, 198, 1, PULL0)," & --  PAD64
	" 200 (BC_2, IO_B13, input, X)," & --  PAD64
	" 201 (BC_2, *, controlr, 1)," &
	" 202 (BC_2, IO_C13, output3, X, 201, 1, PULL0)," & --  PAD63
	" 203 (BC_2, IO_C13, input, X)," & --  PAD63
	" 204 (BC_2, *, controlr, 1)," &
	" 205 (BC_2, IO_D13, output3, X, 204, 1, PULL0)," & --  PAD62
	" 206 (BC_2, IO_D13, input, X)," & --  PAD62
	" 207 (BC_2, *, controlr, 1)," &
	" 208 (BC_2, IO_E13, output3, X, 207, 1, PULL0)," & --  PAD61
	" 209 (BC_2, IO_E13, input, X)," & --  PAD61
	" 210 (BC_2, *, controlr, 1)," &
	" 211 (BC_2, IO_F13, output3, X, 210, 1, PULL0)," & --  PAD60
	" 212 (BC_2, IO_F13, input, X)," & --  PAD60
	" 213 (BC_2, *, controlr, 1)," &
	" 214 (BC_2, IO_G13, output3, X, 213, 1, PULL0)," & --  PAD59
	" 215 (BC_2, IO_G13, input, X)," & --  PAD59
	" 216 (BC_2, *, controlr, 1)," &
	" 217 (BC_2, IO_A12, output3, X, 216, 1, PULL0)," & --  PAD58
	" 218 (BC_2, IO_A12, input, X)," & --  PAD58
	" 219 (BC_2, *, controlr, 1)," &
	" 220 (BC_2, IO_B12, output3, X, 219, 1, PULL0)," & --  PAD57
	" 221 (BC_2, IO_B12, input, X)," & --  PAD57
	" 222 (BC_2, *, controlr, 1)," &
	" 223 (BC_2, IO_C12, output3, X, 222, 1, PULL0)," & --  PAD56
	" 224 (BC_2, IO_C12, input, X)," & --  PAD56
	" 225 (BC_2, *, controlr, 1)," &
	" 226 (BC_2, IO_E12, output3, X, 225, 1, PULL0)," & --  PAD55
	" 227 (BC_2, IO_E12, input, X)," & --  PAD55
	" 228 (BC_2, *, controlr, 1)," &
	" 229 (BC_2, IO_F12, output3, X, 228, 1, PULL0)," & --  PAD54
	" 230 (BC_2, IO_F12, input, X)," & --  PAD54
	" 231 (BC_2, *, controlr, 1)," &
	" 232 (BC_2, IO_H13, output3, X, 231, 1, PULL0)," & --  PAD53
	" 233 (BC_2, IO_H13, input, X)," & --  PAD53
	" 234 (BC_2, *, controlr, 1)," &
	" 235 (BC_2, IO_G12, output3, X, 234, 1, PULL0)," & --  PAD52
	" 236 (BC_2, IO_G12, input, X)," & --  PAD52
	" 237 (BC_2, *, controlr, 1)," &
	" 238 (BC_2, IO_H12, output3, X, 237, 1, PULL0)," & --  PAD51
	" 239 (BC_2, IO_H12, input, X)," & --  PAD51
	" 240 (BC_2, *, controlr, 1)," &
	" 241 (BC_2, IO_A11, output3, X, 240, 1, PULL0)," & --  PAD50
	" 242 (BC_2, IO_A11, input, X)," & --  PAD50
	" 243 (BC_2, *, controlr, 1)," &
	" 244 (BC_2, IO_B11, output3, X, 243, 1, PULL0)," & --  PAD49
	" 245 (BC_2, IO_B11, input, X)," & --  PAD49
	" 246 (BC_2, *, controlr, 1)," &
	" 247 (BC_2, IO_D11, output3, X, 246, 1, PULL0)," & --  PAD48
	" 248 (BC_2, IO_D11, input, X)," & --  PAD48
	" 249 (BC_2, *, controlr, 1)," &
	" 250 (BC_2, IO_E11, output3, X, 249, 1, PULL0)," & --  PAD47
	" 251 (BC_2, IO_E11, input, X)," & --  PAD47
	" 252 (BC_2, *, internal, 1)," & -- PAD46.T
	" 253 (BC_2, *, internal, X)," & -- PAD46.O
	" 254 (BC_2, *, internal, X)," & -- PAD46.I
	" 255 (BC_2, *, controlr, 1)," &
	" 256 (BC_2, IO_F11, output3, X, 255, 1, PULL0)," & --  PAD45
	" 257 (BC_2, IO_F11, input, X)," & --  PAD45
	" 258 (BC_2, *, controlr, 1)," &
	" 259 (BC_2, IO_G11, output3, X, 258, 1, PULL0)," & --  PAD44
	" 260 (BC_2, IO_G11, input, X)," & --  PAD44
	" 261 (BC_2, *, controlr, 1)," &
	" 262 (BC_2, IO_A10, output3, X, 261, 1, PULL0)," & --  PAD43
	" 263 (BC_2, IO_A10, input, X)," & --  PAD43
	" 264 (BC_2, *, controlr, 1)," &
	" 265 (BC_2, IO_B10, output3, X, 264, 1, PULL0)," & --  PAD42
	" 266 (BC_2, IO_B10, input, X)," & --  PAD42
	" 267 (BC_2, *, controlr, 1)," &
	" 268 (BC_2, IO_H11, output3, X, 267, 1, PULL0)," & --  PAD41
	" 269 (BC_2, IO_H11, input, X)," & --  PAD41
	" 270 (BC_2, *, controlr, 1)," &
	" 271 (BC_2, IO_C10, output3, X, 270, 1, PULL0)," & --  PAD40
	" 272 (BC_2, IO_C10, input, X)," & --  PAD40
	" 273 (BC_2, *, controlr, 1)," &
	" 274 (BC_2, IO_D10, output3, X, 273, 1, PULL0)," & --  PAD39
	" 275 (BC_2, IO_D10, input, X)," & --  PAD39
	" 276 (BC_2, *, controlr, 1)," &
	" 277 (BC_2, IO_E10, output3, X, 276, 1, PULL0)," & --  PAD38
	" 278 (BC_2, IO_E10, input, X)," & --  PAD38
	" 279 (BC_2, *, controlr, 1)," &
	" 280 (BC_2, IO_F10, output3, X, 279, 1, PULL0)," & --  PAD37
	" 281 (BC_2, IO_F10, input, X)," & --  PAD37
	" 282 (BC_2, *, controlr, 1)," &
	" 283 (BC_2, IO_G10, output3, X, 282, 1, PULL0)," & --  PAD36
	" 284 (BC_2, IO_G10, input, X)," & --  PAD36
	" 285 (BC_2, *, controlr, 1)," &
	" 286 (BC_2, IO_B9, output3, X, 285, 1, PULL0)," & --  PAD35
	" 287 (BC_2, IO_B9, input, X)," & --  PAD35
	" 288 (BC_2, *, controlr, 1)," &
	" 289 (BC_2, IO_C9, output3, X, 288, 1, PULL0)," & --  PAD34
	" 290 (BC_2, IO_C9, input, X)," & --  PAD34
	" 291 (BC_2, *, controlr, 1)," &
	" 292 (BC_2, IO_D9, output3, X, 291, 1, PULL0)," & --  PAD33
	" 293 (BC_2, IO_D9, input, X)," & --  PAD33
	" 294 (BC_2, *, controlr, 1)," &
	" 295 (BC_2, IO_E9, output3, X, 294, 1, PULL0)," & --  PAD32
	" 296 (BC_2, IO_E9, input, X)," & --  PAD32
	" 297 (BC_2, *, internal, 1)," & -- PAD31.T
	" 298 (BC_2, *, internal, X)," & -- PAD31.O
	" 299 (BC_2, *, internal, X)," & -- PAD31.I
	" 300 (BC_2, *, controlr, 1)," &
	" 301 (BC_2, IO_F9, output3, X, 300, 1, PULL0)," & --  PAD30
	" 302 (BC_2, IO_F9, input, X)," & --  PAD30
	" 303 (BC_2, *, controlr, 1)," &
	" 304 (BC_2, IO_G9, output3, X, 303, 1, PULL0)," & --  PAD29
	" 305 (BC_2, IO_G9, input, X)," & --  PAD29
	" 306 (BC_2, *, controlr, 1)," &
	" 307 (BC_2, IO_A8, output3, X, 306, 1, PULL0)," & --  PAD28
	" 308 (BC_2, IO_A8, input, X)," & --  PAD28
	" 309 (BC_2, *, controlr, 1)," &
	" 310 (BC_2, IO_B8, output3, X, 309, 1, PULL0)," & --  PAD27
	" 311 (BC_2, IO_B8, input, X)," & --  PAD27
	" 312 (BC_2, *, controlr, 1)," &
	" 313 (BC_2, IO_C8, output3, X, 312, 1, PULL0)," & --  PAD26
	" 314 (BC_2, IO_C8, input, X)," & --  PAD26
	" 315 (BC_2, *, controlr, 1)," &
	" 316 (BC_2, IO_D8, output3, X, 315, 1, PULL0)," & --  PAD25
	" 317 (BC_2, IO_D8, input, X)," & --  PAD25
	" 318 (BC_2, *, controlr, 1)," &
	" 319 (BC_2, IO_E8, output3, X, 318, 1, PULL0)," & --  PAD24
	" 320 (BC_2, IO_E8, input, X)," & --  PAD24
	" 321 (BC_2, *, controlr, 1)," &
	" 322 (BC_2, IO_F8, output3, X, 321, 1, PULL0)," & --  PAD23
	" 323 (BC_2, IO_F8, input, X)," & --  PAD23
	" 324 (BC_2, *, controlr, 1)," &
	" 325 (BC_2, IO_G8, output3, X, 324, 1, PULL0)," & --  PAD22
	" 326 (BC_2, IO_G8, input, X)," & --  PAD22
	" 327 (BC_2, *, controlr, 1)," &
	" 328 (BC_2, IO_F7, output3, X, 327, 1, PULL0)," & --  PAD21
	" 329 (BC_2, IO_F7, input, X)," & --  PAD21
	" 330 (BC_2, *, controlr, 1)," &
	" 331 (BC_2, IO_A7, output3, X, 330, 1, PULL0)," & --  PAD20
	" 332 (BC_2, IO_A7, input, X)," & --  PAD20
	" 333 (BC_2, *, controlr, 1)," &
	" 334 (BC_2, IO_B7, output3, X, 333, 1, PULL0)," & --  PAD19
	" 335 (BC_2, IO_B7, input, X)," & --  PAD19
	" 336 (BC_2, *, controlr, 1)," &
	" 337 (BC_2, IO_D7, output3, X, 336, 1, PULL0)," & --  PAD18
	" 338 (BC_2, IO_D7, input, X)," & --  PAD18
	" 339 (BC_2, *, controlr, 1)," &
	" 340 (BC_2, IO_E7, output3, X, 339, 1, PULL0)," & --  PAD17
	" 341 (BC_2, IO_E7, input, X)," & --  PAD17
	" 342 (BC_2, *, controlr, 1)," &
	" 343 (BC_2, IO_A6, output3, X, 342, 1, PULL0)," & --  PAD16
	" 344 (BC_2, IO_A6, input, X)," & --  PAD16
	" 345 (BC_2, *, controlr, 1)," &
	" 346 (BC_2, IO_B6, output3, X, 345, 1, PULL0)," & --  PAD15
	" 347 (BC_2, IO_B6, input, X)," & --  PAD15
	" 348 (BC_2, *, controlr, 1)," &
	" 349 (BC_2, IO_C6, output3, X, 348, 1, PULL0)," & --  PAD14
	" 350 (BC_2, IO_C6, input, X)," & --  PAD14
	" 351 (BC_2, *, controlr, 1)," &
	" 352 (BC_2, IO_D6, output3, X, 351, 1, PULL0)," & --  PAD13
	" 353 (BC_2, IO_D6, input, X)," & --  PAD13
	" 354 (BC_2, *, controlr, 1)," &
	" 355 (BC_2, IO_E6, output3, X, 354, 1, PULL0)," & --  PAD12
	" 356 (BC_2, IO_E6, input, X)," & --  PAD12
	" 357 (BC_2, *, controlr, 1)," &
	" 358 (BC_2, IO_A5, output3, X, 357, 1, PULL0)," & --  PAD11
	" 359 (BC_2, IO_A5, input, X)," & --  PAD11
	" 360 (BC_2, *, controlr, 1)," &
	" 361 (BC_2, IO_B5, output3, X, 360, 1, PULL0)," & --  PAD10
	" 362 (BC_2, IO_B5, input, X)," & --  PAD10
	" 363 (BC_2, *, controlr, 1)," &
	" 364 (BC_2, IO_C5, output3, X, 363, 1, PULL0)," & --  PAD9
	" 365 (BC_2, IO_C5, input, X)," & --  PAD9
	" 366 (BC_2, *, controlr, 1)," &
	" 367 (BC_2, IO_A4, output3, X, 366, 1, PULL0)," & --  PAD8
	" 368 (BC_2, IO_A4, input, X)," & --  PAD8
	" 369 (BC_2, *, controlr, 1)," &
	" 370 (BC_2, IO_B4, output3, X, 369, 1, PULL0)," & --  PAD7
	" 371 (BC_2, IO_B4, input, X)," & --  PAD7
	" 372 (BC_2, *, controlr, 1)," &
	" 373 (BC_2, IO_C4, output3, X, 372, 1, PULL0)," & --  PAD6
	" 374 (BC_2, IO_C4, input, X)," & --  PAD6
	" 375 (BC_2, *, controlr, 1)," &
	" 376 (BC_2, IO_A3, output3, X, 375, 1, PULL0)," & --  PAD5
	" 377 (BC_2, IO_A3, input, X)," & --  PAD5
	" 378 (BC_2, *, internal, 1)," & -- PAD4.T
	" 379 (BC_2, *, internal, X)," & -- PAD4.O
	" 380 (BC_2, *, internal, X)," & -- PAD4.I
	" 381 (BC_2, *, controlr, 1)," &
	" 382 (BC_2, IO_D5, output3, X, 381, 1, PULL0)," & --  PAD3
	" 383 (BC_2, IO_D5, input, X)," & --  PAD3
	" 384 (BC_2, *, controlr, 1)," &
	" 385 (BC_2, IO_E5, output3, X, 384, 1, PULL0)," & --  PAD2
	" 386 (BC_2, IO_E5, input, X)," & --  PAD2
	" 387 (BC_2, *, controlr, 1)," &
	" 388 (BC_2, IO_B3, output3, X, 387, 1, PULL0)," & --  PAD1
	" 389 (BC_2, IO_B3, input, X)," & --  PAD1
	" 390 (BC_2, HSWAP_EN_C2, input, X)," &
	" 391 (BC_2, *, internal, 1)," & -- PROG_B.I
	" 392 (BC_2, *, controlr, 1)," &
	" 393 (BC_2, IO_F6, output3, X, 392, 1, PULL0)," & --  PAD516
	" 394 (BC_2, IO_F6, input, X)," & --  PAD516
	" 395 (BC_2, *, controlr, 1)," &
	" 396 (BC_2, IO_F5, output3, X, 395, 1, PULL0)," & --  PAD515
	" 397 (BC_2, IO_F5, input, X)," & --  PAD515
	" 398 (BC_2, *, controlr, 1)," &
	" 399 (BC_2, IO_E4, output3, X, 398, 1, PULL0)," & --  PAD514
	" 400 (BC_2, IO_E4, input, X)," & --  PAD514
	" 401 (BC_2, *, controlr, 1)," &
	" 402 (BC_2, IO_E3, output3, X, 401, 1, PULL0)," & --  PAD513
	" 403 (BC_2, IO_E3, input, X)," & --  PAD513
	" 404 (BC_2, *, controlr, 1)," &
	" 405 (BC_2, IO_D2, output3, X, 404, 1, PULL0)," & --  PAD512
	" 406 (BC_2, IO_D2, input, X)," & --  PAD512
	" 407 (BC_2, *, controlr, 1)," &
	" 408 (BC_2, IO_D1, output3, X, 407, 1, PULL0)," & --  PAD511
	" 409 (BC_2, IO_D1, input, X)," & --  PAD511
	" 410 (BC_2, *, controlr, 1)," &
	" 411 (BC_2, IO_G7, output3, X, 410, 1, PULL0)," & --  PAD510
	" 412 (BC_2, IO_G7, input, X)," & --  PAD510
	" 413 (BC_2, *, controlr, 1)," &
	" 414 (BC_2, IO_G6, output3, X, 413, 1, PULL0)," & --  PAD509
	" 415 (BC_2, IO_G6, input, X)," & --  PAD509
	" 416 (BC_2, *, controlr, 1)," &
	" 417 (BC_2, IO_E2, output3, X, 416, 1, PULL0)," & --  PAD508
	" 418 (BC_2, IO_E2, input, X)," & --  PAD508
	" 419 (BC_2, *, controlr, 1)," &
	" 420 (BC_2, IO_E1, output3, X, 419, 1, PULL0)," & --  PAD507
	" 421 (BC_2, IO_E1, input, X)," & --  PAD507
	" 422 (BC_2, *, controlr, 1)," &
	" 423 (BC_2, IO_F4, output3, X, 422, 1, PULL0)," & --  PAD506
	" 424 (BC_2, IO_F4, input, X)," & --  PAD506
	" 425 (BC_2, *, controlr, 1)," &
	" 426 (BC_2, IO_F3, output3, X, 425, 1, PULL0)," & --  PAD505
	" 427 (BC_2, IO_F3, input, X)," & --  PAD505
	" 428 (BC_2, *, controlr, 1)," &
	" 429 (BC_2, IO_G5, output3, X, 428, 1, PULL0)," & --  PAD504
	" 430 (BC_2, IO_G5, input, X)," & --  PAD504
	" 431 (BC_2, *, controlr, 1)," &
	" 432 (BC_2, IO_G4, output3, X, 431, 1, PULL0)," & --  PAD503
	" 433 (BC_2, IO_G4, input, X)," & --  PAD503
	" 434 (BC_2, *, controlr, 1)," &
	" 435 (BC_2, IO_F2, output3, X, 434, 1, PULL0)," & --  PAD502
	" 436 (BC_2, IO_F2, input, X)," & --  PAD502
	" 437 (BC_2, *, controlr, 1)," &
	" 438 (BC_2, IO_F1, output3, X, 437, 1, PULL0)," & --  PAD501
	" 439 (BC_2, IO_F1, input, X)," & --  PAD501
	" 440 (BC_2, *, controlr, 1)," &
	" 441 (BC_2, IO_H7, output3, X, 440, 1, PULL0)," & --  PAD500
	" 442 (BC_2, IO_H7, input, X)," & --  PAD500
	" 443 (BC_2, *, controlr, 1)," &
	" 444 (BC_2, IO_H6, output3, X, 443, 1, PULL0)," & --  PAD499
	" 445 (BC_2, IO_H6, input, X)," & --  PAD499
	" 446 (BC_2, *, controlr, 1)," &
	" 447 (BC_2, IO_G2, output3, X, 446, 1, PULL0)," & --  PAD498
	" 448 (BC_2, IO_G2, input, X)," & --  PAD498
	" 449 (BC_2, *, controlr, 1)," &
	" 450 (BC_2, IO_G1, output3, X, 449, 1, PULL0)," & --  PAD497
	" 451 (BC_2, IO_G1, input, X)," & --  PAD497
	" 452 (BC_2, *, controlr, 1)," &
	" 453 (BC_2, IO_H5, output3, X, 452, 1, PULL0)," & --  PAD496
	" 454 (BC_2, IO_H5, input, X)," & --  PAD496
	" 455 (BC_2, *, controlr, 1)," &
	" 456 (BC_2, IO_J6, output3, X, 455, 1, PULL0)," & --  PAD495
	" 457 (BC_2, IO_J6, input, X)," & --  PAD495
	" 458 (BC_2, *, controlr, 1)," &
	" 459 (BC_2, IO_H4, output3, X, 458, 1, PULL0)," & --  PAD494
	" 460 (BC_2, IO_H4, input, X)," & --  PAD494
	" 461 (BC_2, *, controlr, 1)," &
	" 462 (BC_2, IO_H3, output3, X, 461, 1, PULL0)," & --  PAD493
	" 463 (BC_2, IO_H3, input, X)," & --  PAD493
	" 464 (BC_2, *, controlr, 1)," &
	" 465 (BC_2, IO_H2, output3, X, 464, 1, PULL0)," & --  PAD492
	" 466 (BC_2, IO_H2, input, X)," & --  PAD492
	" 467 (BC_2, *, controlr, 1)," &
	" 468 (BC_2, IO_H1, output3, X, 467, 1, PULL0)," & --  PAD491
	" 469 (BC_2, IO_H1, input, X)," & --  PAD491
	" 470 (BC_2, *, controlr, 1)," &
	" 471 (BC_2, IO_J7, output3, X, 470, 1, PULL0)," & --  PAD490
	" 472 (BC_2, IO_J7, input, X)," & --  PAD490
	" 473 (BC_2, *, controlr, 1)," &
	" 474 (BC_2, IO_K7, output3, X, 473, 1, PULL0)," & --  PAD489
	" 475 (BC_2, IO_K7, input, X)," & --  PAD489
	" 476 (BC_2, *, controlr, 1)," &
	" 477 (BC_2, IO_J5, output3, X, 476, 1, PULL0)," & --  PAD488
	" 478 (BC_2, IO_J5, input, X)," & --  PAD488
	" 479 (BC_2, *, controlr, 1)," &
	" 480 (BC_2, IO_J4, output3, X, 479, 1, PULL0)," & --  PAD487
	" 481 (BC_2, IO_J4, input, X)," & --  PAD487
	" 482 (BC_2, *, controlr, 1)," &
	" 483 (BC_2, IO_J3, output3, X, 482, 1, PULL0)," & --  PAD486
	" 484 (BC_2, IO_J3, input, X)," & --  PAD486
	" 485 (BC_2, *, controlr, 1)," &
	" 486 (BC_2, IO_J2, output3, X, 485, 1, PULL0)," & --  PAD485
	" 487 (BC_2, IO_J2, input, X)," & --  PAD485
	" 488 (BC_2, *, controlr, 1)," &
	" 489 (BC_2, IO_K6, output3, X, 488, 1, PULL0)," & --  PAD484
	" 490 (BC_2, IO_K6, input, X)," & --  PAD484
	" 491 (BC_2, *, controlr, 1)," &
	" 492 (BC_2, IO_K5, output3, X, 491, 1, PULL0)," & --  PAD483
	" 493 (BC_2, IO_K5, input, X)," & --  PAD483
	" 494 (BC_2, *, controlr, 1)," &
	" 495 (BC_2, IO_K4, output3, X, 494, 1, PULL0)," & --  PAD482
	" 496 (BC_2, IO_K4, input, X)," & --  PAD482
	" 497 (BC_2, *, controlr, 1)," &
	" 498 (BC_2, IO_K3, output3, X, 497, 1, PULL0)," & --  PAD481
	" 499 (BC_2, IO_K3, input, X)," & --  PAD481
	" 500 (BC_2, *, controlr, 1)," &
	" 501 (BC_2, IO_K2, output3, X, 500, 1, PULL0)," & --  PAD480
	" 502 (BC_2, IO_K2, input, X)," & --  PAD480
	" 503 (BC_2, *, controlr, 1)," &
	" 504 (BC_2, IO_K1, output3, X, 503, 1, PULL0)," & --  PAD479
	" 505 (BC_2, IO_K1, input, X)," & --  PAD479
	" 506 (BC_2, *, controlr, 1)," &
	" 507 (BC_2, IO_L8, output3, X, 506, 1, PULL0)," & --  PAD478
	" 508 (BC_2, IO_L8, input, X)," & --  PAD478
	" 509 (BC_2, *, controlr, 1)," &
	" 510 (BC_2, IO_L7, output3, X, 509, 1, PULL0)," & --  PAD477
	" 511 (BC_2, IO_L7, input, X)," & --  PAD477
	" 512 (BC_2, *, controlr, 1)," &
	" 513 (BC_2, IO_L6, output3, X, 512, 1, PULL0)," & --  PAD476
	" 514 (BC_2, IO_L6, input, X)," & --  PAD476
	" 515 (BC_2, *, controlr, 1)," &
	" 516 (BC_2, IO_L5, output3, X, 515, 1, PULL0)," & --  PAD475
	" 517 (BC_2, IO_L5, input, X)," & --  PAD475
	" 518 (BC_2, *, controlr, 1)," &
	" 519 (BC_2, IO_L2, output3, X, 518, 1, PULL0)," & --  PAD474
	" 520 (BC_2, IO_L2, input, X)," & --  PAD474
	" 521 (BC_2, *, controlr, 1)," &
	" 522 (BC_2, IO_L1, output3, X, 521, 1, PULL0)," & --  PAD473
	" 523 (BC_2, IO_L1, input, X)," & --  PAD473
	" 524 (BC_2, *, internal, 1)," & -- PAD472.T
	" 525 (BC_2, *, internal, X)," & -- PAD472.O
	" 526 (BC_2, *, internal, X)," & -- PAD472.I
	" 527 (BC_2, *, internal, 1)," & -- PAD471.T
	" 528 (BC_2, *, internal, X)," & -- PAD471.O
	" 529 (BC_2, *, internal, X)," & -- PAD471.I
	" 530 (BC_2, *, controlr, 1)," &
	" 531 (BC_2, IO_M8, output3, X, 530, 1, PULL0)," & --  PAD470
	" 532 (BC_2, IO_M8, input, X)," & --  PAD470
	" 533 (BC_2, *, controlr, 1)," &
	" 534 (BC_2, IO_M7, output3, X, 533, 1, PULL0)," & --  PAD469
	" 535 (BC_2, IO_M7, input, X)," & --  PAD469
	" 536 (BC_2, *, controlr, 1)," &
	" 537 (BC_2, IO_M5, output3, X, 536, 1, PULL0)," & --  PAD468
	" 538 (BC_2, IO_M5, input, X)," & --  PAD468
	" 539 (BC_2, *, controlr, 1)," &
	" 540 (BC_2, IO_M6, output3, X, 539, 1, PULL0)," & --  PAD467
	" 541 (BC_2, IO_M6, input, X)," & --  PAD467
	" 542 (BC_2, *, controlr, 1)," &
	" 543 (BC_2, IO_L4, output3, X, 542, 1, PULL0)," & --  PAD466
	" 544 (BC_2, IO_L4, input, X)," & --  PAD466
	" 545 (BC_2, *, controlr, 1)," &
	" 546 (BC_2, IO_M3, output3, X, 545, 1, PULL0)," & --  PAD465
	" 547 (BC_2, IO_M3, input, X)," & --  PAD465
	" 548 (BC_2, *, controlr, 1)," &
	" 549 (BC_2, IO_M2, output3, X, 548, 1, PULL0)," & --  PAD464
	" 550 (BC_2, IO_M2, input, X)," & --  PAD464
	" 551 (BC_2, *, controlr, 1)," &
	" 552 (BC_2, IO_M1, output3, X, 551, 1, PULL0)," & --  PAD463
	" 553 (BC_2, IO_M1, input, X)," & --  PAD463
	" 554 (BC_2, *, controlr, 1)," &
	" 555 (BC_2, IO_N8, output3, X, 554, 1, PULL0)," & --  PAD462
	" 556 (BC_2, IO_N8, input, X)," & --  PAD462
	" 557 (BC_2, *, controlr, 1)," &
	" 558 (BC_2, IO_N7, output3, X, 557, 1, PULL0)," & --  PAD461
	" 559 (BC_2, IO_N7, input, X)," & --  PAD461
	" 560 (BC_2, *, internal, 1)," & -- PAD460.T
	" 561 (BC_2, *, internal, X)," & -- PAD460.O
	" 562 (BC_2, *, internal, X)," & -- PAD460.I
	" 563 (BC_2, *, internal, 1)," & -- PAD459.T
	" 564 (BC_2, *, internal, X)," & -- PAD459.O
	" 565 (BC_2, *, internal, X)," & -- PAD459.I
	" 566 (BC_2, *, controlr, 1)," &
	" 567 (BC_2, IO_N6, output3, X, 566, 1, PULL0)," & --  PAD458
	" 568 (BC_2, IO_N6, input, X)," & --  PAD458
	" 569 (BC_2, *, controlr, 1)," &
	" 570 (BC_2, IO_N5, output3, X, 569, 1, PULL0)," & --  PAD457
	" 571 (BC_2, IO_N5, input, X)," & --  PAD457
	" 572 (BC_2, *, controlr, 1)," &
	" 573 (BC_2, IO_N4, output3, X, 572, 1, PULL0)," & --  PAD456
	" 574 (BC_2, IO_N4, input, X)," & --  PAD456
	" 575 (BC_2, *, controlr, 1)," &
	" 576 (BC_2, IO_N3, output3, X, 575, 1, PULL0)," & --  PAD455
	" 577 (BC_2, IO_N3, input, X)," & --  PAD455
	" 578 (BC_2, *, controlr, 1)," &
	" 579 (BC_2, IO_N2, output3, X, 578, 1, PULL0)," & --  PAD454
	" 580 (BC_2, IO_N2, input, X)," & --  PAD454
	" 581 (BC_2, *, controlr, 1)," &
	" 582 (BC_2, IO_N1, output3, X, 581, 1, PULL0)," & --  PAD453
	" 583 (BC_2, IO_N1, input, X)," & --  PAD453
	" 584 (BC_2, *, controlr, 1)," &
	" 585 (BC_2, IO_P1, output3, X, 584, 1, PULL0)," & --  PAD452
	" 586 (BC_2, IO_P1, input, X)," & --  PAD452
	" 587 (BC_2, *, controlr, 1)," &
	" 588 (BC_2, IO_P2, output3, X, 587, 1, PULL0)," & --  PAD451
	" 589 (BC_2, IO_P2, input, X)," & --  PAD451
	" 590 (BC_2, *, controlr, 1)," &
	" 591 (BC_2, IO_P3, output3, X, 590, 1, PULL0)," & --  PAD450
	" 592 (BC_2, IO_P3, input, X)," & --  PAD450
	" 593 (BC_2, *, controlr, 1)," &
	" 594 (BC_2, IO_P4, output3, X, 593, 1, PULL0)," & --  PAD449
	" 595 (BC_2, IO_P4, input, X)," & --  PAD449
	" 596 (BC_2, *, controlr, 1)," &
	" 597 (BC_2, IO_P5, output3, X, 596, 1, PULL0)," & --  PAD448
	" 598 (BC_2, IO_P5, input, X)," & --  PAD448
	" 599 (BC_2, *, controlr, 1)," &
	" 600 (BC_2, IO_P6, output3, X, 599, 1, PULL0)," & --  PAD447
	" 601 (BC_2, IO_P6, input, X)," & --  PAD447
	" 602 (BC_2, *, internal, 1)," & -- PAD446.T
	" 603 (BC_2, *, internal, X)," & -- PAD446.O
	" 604 (BC_2, *, internal, X)," & -- PAD446.I
	" 605 (BC_2, *, internal, 1)," & -- PAD445.T
	" 606 (BC_2, *, internal, X)," & -- PAD445.O
	" 607 (BC_2, *, internal, X)," & -- PAD445.I
	" 608 (BC_2, *, controlr, 1)," &
	" 609 (BC_2, IO_P7, output3, X, 608, 1, PULL0)," & --  PAD444
	" 610 (BC_2, IO_P7, input, X)," & --  PAD444
	" 611 (BC_2, *, controlr, 1)," &
	" 612 (BC_2, IO_P8, output3, X, 611, 1, PULL0)," & --  PAD443
	" 613 (BC_2, IO_P8, input, X)," & --  PAD443
	" 614 (BC_2, *, controlr, 1)," &
	" 615 (BC_2, IO_R1, output3, X, 614, 1, PULL0)," & --  PAD442
	" 616 (BC_2, IO_R1, input, X)," & --  PAD442
	" 617 (BC_2, *, controlr, 1)," &
	" 618 (BC_2, IO_R2, output3, X, 617, 1, PULL0)," & --  PAD441
	" 619 (BC_2, IO_R2, input, X)," & --  PAD441
	" 620 (BC_2, *, controlr, 1)," &
	" 621 (BC_2, IO_R3, output3, X, 620, 1, PULL0)," & --  PAD440
	" 622 (BC_2, IO_R3, input, X)," & --  PAD440
	" 623 (BC_2, *, controlr, 1)," &
	" 624 (BC_2, IO_T4, output3, X, 623, 1, PULL0)," & --  PAD439
	" 625 (BC_2, IO_T4, input, X)," & --  PAD439
	" 626 (BC_2, *, controlr, 1)," &
	" 627 (BC_2, IO_R5, output3, X, 626, 1, PULL0)," & --  PAD438
	" 628 (BC_2, IO_R5, input, X)," & --  PAD438
	" 629 (BC_2, *, controlr, 1)," &
	" 630 (BC_2, IO_R6, output3, X, 629, 1, PULL0)," & --  PAD437
	" 631 (BC_2, IO_R6, input, X)," & --  PAD437
	" 632 (BC_2, *, controlr, 1)," &
	" 633 (BC_2, IO_R7, output3, X, 632, 1, PULL0)," & --  PAD436
	" 634 (BC_2, IO_R7, input, X)," & --  PAD436
	" 635 (BC_2, *, controlr, 1)," &
	" 636 (BC_2, IO_R8, output3, X, 635, 1, PULL0)," & --  PAD435
	" 637 (BC_2, IO_R8, input, X)," & --  PAD435
	" 638 (BC_2, *, internal, 1)," & -- PAD434.T
	" 639 (BC_2, *, internal, X)," & -- PAD434.O
	" 640 (BC_2, *, internal, X)," & -- PAD434.I
	" 641 (BC_2, *, internal, 1)," & -- PAD433.T
	" 642 (BC_2, *, internal, X)," & -- PAD433.O
	" 643 (BC_2, *, internal, X)," & -- PAD433.I
	" 644 (BC_2, *, controlr, 1)," &
	" 645 (BC_2, IO_T1, output3, X, 644, 1, PULL0)," & --  PAD432
	" 646 (BC_2, IO_T1, input, X)," & --  PAD432
	" 647 (BC_2, *, controlr, 1)," &
	" 648 (BC_2, IO_T2, output3, X, 647, 1, PULL0)," & --  PAD431
	" 649 (BC_2, IO_T2, input, X)," & --  PAD431
	" 650 (BC_2, *, controlr, 1)," &
	" 651 (BC_2, IO_T5, output3, X, 650, 1, PULL0)," & --  PAD430
	" 652 (BC_2, IO_T5, input, X)," & --  PAD430
	" 653 (BC_2, *, controlr, 1)," &
	" 654 (BC_2, IO_T6, output3, X, 653, 1, PULL0)," & --  PAD429
	" 655 (BC_2, IO_T6, input, X)," & --  PAD429
	" 656 (BC_2, *, controlr, 1)," &
	" 657 (BC_2, IO_T7, output3, X, 656, 1, PULL0)," & --  PAD428
	" 658 (BC_2, IO_T7, input, X)," & --  PAD428
	" 659 (BC_2, *, controlr, 1)," &
	" 660 (BC_2, IO_T8, output3, X, 659, 1, PULL0)," & --  PAD427
	" 661 (BC_2, IO_T8, input, X)," & --  PAD427
	" 662 (BC_2, *, controlr, 1)," &
	" 663 (BC_2, IO_U1, output3, X, 662, 1, PULL0)," & --  PAD426
	" 664 (BC_2, IO_U1, input, X)," & --  PAD426
	" 665 (BC_2, *, controlr, 1)," &
	" 666 (BC_2, IO_U2, output3, X, 665, 1, PULL0)," & --  PAD425
	" 667 (BC_2, IO_U2, input, X)," & --  PAD425
	" 668 (BC_2, *, controlr, 1)," &
	" 669 (BC_2, IO_U3, output3, X, 668, 1, PULL0)," & --  PAD424
	" 670 (BC_2, IO_U3, input, X)," & --  PAD424
	" 671 (BC_2, *, controlr, 1)," &
	" 672 (BC_2, IO_U4, output3, X, 671, 1, PULL0)," & --  PAD423
	" 673 (BC_2, IO_U4, input, X)," & --  PAD423
	" 674 (BC_2, *, controlr, 1)," &
	" 675 (BC_2, IO_U5, output3, X, 674, 1, PULL0)," & --  PAD422
	" 676 (BC_2, IO_U5, input, X)," & --  PAD422
	" 677 (BC_2, *, controlr, 1)," &
	" 678 (BC_2, IO_U6, output3, X, 677, 1, PULL0)," & --  PAD421
	" 679 (BC_2, IO_U6, input, X)," & --  PAD421
	" 680 (BC_2, *, controlr, 1)," &
	" 681 (BC_2, IO_V2, output3, X, 680, 1, PULL0)," & --  PAD420
	" 682 (BC_2, IO_V2, input, X)," & --  PAD420
	" 683 (BC_2, *, controlr, 1)," &
	" 684 (BC_2, IO_V3, output3, X, 683, 1, PULL0)," & --  PAD419
	" 685 (BC_2, IO_V3, input, X)," & --  PAD419
	" 686 (BC_2, *, controlr, 1)," &
	" 687 (BC_2, IO_V4, output3, X, 686, 1, PULL0)," & --  PAD418
	" 688 (BC_2, IO_V4, input, X)," & --  PAD418
	" 689 (BC_2, *, controlr, 1)," &
	" 690 (BC_2, IO_V5, output3, X, 689, 1, PULL0)," & --  PAD417
	" 691 (BC_2, IO_V5, input, X)," & --  PAD417
	" 692 (BC_2, *, controlr, 1)," &
	" 693 (BC_2, IO_U7, output3, X, 692, 1, PULL0)," & --  PAD416
	" 694 (BC_2, IO_U7, input, X)," & --  PAD416
	" 695 (BC_2, *, controlr, 1)," &
	" 696 (BC_2, IO_V7, output3, X, 695, 1, PULL0)," & --  PAD415
	" 697 (BC_2, IO_V7, input, X)," & --  PAD415
	" 698 (BC_2, *, controlr, 1)," &
	" 699 (BC_2, IO_W1, output3, X, 698, 1, PULL0)," & --  PAD414
	" 700 (BC_2, IO_W1, input, X)," & --  PAD414
	" 701 (BC_2, *, controlr, 1)," &
	" 702 (BC_2, IO_W2, output3, X, 701, 1, PULL0)," & --  PAD413
	" 703 (BC_2, IO_W2, input, X)," & --  PAD413
	" 704 (BC_2, *, controlr, 1)," &
	" 705 (BC_2, IO_W3, output3, X, 704, 1, PULL0)," & --  PAD412
	" 706 (BC_2, IO_W3, input, X)," & --  PAD412
	" 707 (BC_2, *, controlr, 1)," &
	" 708 (BC_2, IO_W4, output3, X, 707, 1, PULL0)," & --  PAD411
	" 709 (BC_2, IO_W4, input, X)," & --  PAD411
	" 710 (BC_2, *, controlr, 1)," &
	" 711 (BC_2, IO_W5, output3, X, 710, 1, PULL0)," & --  PAD410
	" 712 (BC_2, IO_W5, input, X)," & --  PAD410
	" 713 (BC_2, *, controlr, 1)," &
	" 714 (BC_2, IO_V6, output3, X, 713, 1, PULL0)," & --  PAD409
	" 715 (BC_2, IO_V6, input, X)," & --  PAD409
	" 716 (BC_2, *, controlr, 1)," &
	" 717 (BC_2, IO_W6, output3, X, 716, 1, PULL0)," & --  PAD408
	" 718 (BC_2, IO_W6, input, X)," & --  PAD408
	" 719 (BC_2, *, controlr, 1)," &
	" 720 (BC_2, IO_W7, output3, X, 719, 1, PULL0)," & --  PAD407
	" 721 (BC_2, IO_W7, input, X)," & --  PAD407
	" 722 (BC_2, *, controlr, 1)," &
	" 723 (BC_2, IO_Y1, output3, X, 722, 1, PULL0)," & --  PAD406
	" 724 (BC_2, IO_Y1, input, X)," & --  PAD406
	" 725 (BC_2, *, controlr, 1)," &
	" 726 (BC_2, IO_Y2, output3, X, 725, 1, PULL0)," & --  PAD405
	" 727 (BC_2, IO_Y2, input, X)," & --  PAD405
	" 728 (BC_2, *, controlr, 1)," &
	" 729 (BC_2, IO_AA1, output3, X, 728, 1, PULL0)," & --  PAD404
	" 730 (BC_2, IO_AA1, input, X)," & --  PAD404
	" 731 (BC_2, *, controlr, 1)," &
	" 732 (BC_2, IO_AA2, output3, X, 731, 1, PULL0)," & --  PAD403
	" 733 (BC_2, IO_AA2, input, X)," & --  PAD403
	" 734 (BC_2, *, controlr, 1)," &
	" 735 (BC_2, IO_Y4, output3, X, 734, 1, PULL0)," & --  PAD402
	" 736 (BC_2, IO_Y4, input, X)," & --  PAD402
	" 737 (BC_2, *, controlr, 1)," &
	" 738 (BC_2, IO_Y5, output3, X, 737, 1, PULL0)," & --  PAD401
	" 739 (BC_2, IO_Y5, input, X)," & --  PAD401
	" 740 (BC_2, *, controlr, 1)," &
	" 741 (BC_2, IO_AA3, output3, X, 740, 1, PULL0)," & --  PAD400
	" 742 (BC_2, IO_AA3, input, X)," & --  PAD400
	" 743 (BC_2, *, controlr, 1)," &
	" 744 (BC_2, IO_AA4, output3, X, 743, 1, PULL0)," & --  PAD399
	" 745 (BC_2, IO_AA4, input, X)," & --  PAD399
	" 746 (BC_2, *, controlr, 1)," &
	" 747 (BC_2, IO_Y6, output3, X, 746, 1, PULL0)," & --  PAD398
	" 748 (BC_2, IO_Y6, input, X)," & --  PAD398
	" 749 (BC_2, *, controlr, 1)," &
	" 750 (BC_2, IO_Y7, output3, X, 749, 1, PULL0)," & --  PAD397
	" 751 (BC_2, IO_Y7, input, X)," & --  PAD397
	" 752 (BC_2, *, controlr, 1)," &
	" 753 (BC_2, IO_AB1, output3, X, 752, 1, PULL0)," & --  PAD396
	" 754 (BC_2, IO_AB1, input, X)," & --  PAD396
	" 755 (BC_2, *, controlr, 1)," &
	" 756 (BC_2, IO_AB2, output3, X, 755, 1, PULL0)," & --  PAD395
	" 757 (BC_2, IO_AB2, input, X)," & --  PAD395
	" 758 (BC_2, *, controlr, 1)," &
	" 759 (BC_2, IO_AC1, output3, X, 758, 1, PULL0)," & --  PAD394
	" 760 (BC_2, IO_AC1, input, X)," & --  PAD394
	" 761 (BC_2, *, controlr, 1)," &
	" 762 (BC_2, IO_AC2, output3, X, 761, 1, PULL0)," & --  PAD393
	" 763 (BC_2, IO_AC2, input, X)," & --  PAD393
	" 764 (BC_2, *, controlr, 1)," &
	" 765 (BC_2, IO_AB3, output3, X, 764, 1, PULL0)," & --  PAD392
	" 766 (BC_2, IO_AB3, input, X)," & --  PAD392
	" 767 (BC_2, *, controlr, 1)," &
	" 768 (BC_2, IO_AB4, output3, X, 767, 1, PULL0)," & --  PAD391
	" 769 (BC_2, IO_AB4, input, X)," & --  PAD391
	" 770 (BC_2, *, controlr, 1)," &
	" 771 (BC_2, IO_AD1, output3, X, 770, 1, PULL0)," & --  PAD390
	" 772 (BC_2, IO_AD1, input, X)," & --  PAD390
	" 773 (BC_2, *, controlr, 1)," &
	" 774 (BC_2, IO_AD2, output3, X, 773, 1, PULL0)," & --  PAD389
	" 775 (BC_2, IO_AD2, input, X)," & --  PAD389
	" 776 (BC_2, M1_AC3, input, X)," &
	" 777 (BC_2, M0_AE3, input, X)," &
	" 778 (BC_2, M2_AF3, input, X)," &
	" 779 (BC_2, *, controlr, 1)," &
	" 780 (BC_2, IO_AB5, output3, X, 779, 1, PULL0)," & --  PAD388
	" 781 (BC_2, IO_AB5, input, X)," & --  PAD388
	" 782 (BC_2, *, controlr, 1)," &
	" 783 (BC_2, IO_AC5, output3, X, 782, 1, PULL0)," & --  PAD387
	" 784 (BC_2, IO_AC5, input, X)," & --  PAD387
	" 785 (BC_2, *, controlr, 1)," &
	" 786 (BC_2, IO_AD4, output3, X, 785, 1, PULL0)," & --  PAD386
	" 787 (BC_2, IO_AD4, input, X)," & --  PAD386
	" 788 (BC_2, *, controlr, 1)," &
	" 789 (BC_2, IO_AE4, output3, X, 788, 1, PULL0)," & --  PAD385
	" 790 (BC_2, IO_AE4, input, X)," & --  PAD385
	" 791 (BC_2, *, controlr, 1)," &
	" 792 (BC_2, IO_AF4, output3, X, 791, 1, PULL0)," & --  PAD384
	" 793 (BC_2, IO_AF4, input, X)," & --  PAD384
	" 794 (BC_2, *, controlr, 1)," &
	" 795 (BC_2, IO_AA6, output3, X, 794, 1, PULL0)," & --  PAD383
	" 796 (BC_2, IO_AA6, input, X)," & --  PAD383
	" 797 (BC_2, *, controlr, 1)," &
	" 798 (BC_2, IO_AB6, output3, X, 797, 1, PULL0)," & --  PAD382
	" 799 (BC_2, IO_AB6, input, X)," & --  PAD382
	" 800 (BC_2, *, controlr, 1)," &
	" 801 (BC_2, IO_AD5, output3, X, 800, 1, PULL0)," & --  PAD381
	" 802 (BC_2, IO_AD5, input, X)," & --  PAD381
	" 803 (BC_2, *, controlr, 1)," &
	" 804 (BC_2, IO_AE5, output3, X, 803, 1, PULL0)," & --  PAD380
	" 805 (BC_2, IO_AE5, input, X)," & --  PAD380
	" 806 (BC_2, *, controlr, 1)," &
	" 807 (BC_2, IO_AF5, output3, X, 806, 1, PULL0)," & --  PAD379
	" 808 (BC_2, IO_AF5, input, X)," & --  PAD379
	" 809 (BC_2, *, controlr, 1)," &
	" 810 (BC_2, IO_AC6, output3, X, 809, 1, PULL0)," & --  PAD378
	" 811 (BC_2, IO_AC6, input, X)," & --  PAD378
	" 812 (BC_2, *, controlr, 1)," &
	" 813 (BC_2, IO_AD6, output3, X, 812, 1, PULL0)," & --  PAD377
	" 814 (BC_2, IO_AD6, input, X)," & --  PAD377
	" 815 (BC_2, *, controlr, 1)," &
	" 816 (BC_2, IO_AE6, output3, X, 815, 1, PULL0)," & --  PAD376
	" 817 (BC_2, IO_AE6, input, X)," & --  PAD376
	" 818 (BC_2, *, controlr, 1)," &
	" 819 (BC_2, IO_AF6, output3, X, 818, 1, PULL0)," & --  PAD375
	" 820 (BC_2, IO_AF6, input, X)," & --  PAD375
	" 821 (BC_2, *, controlr, 1)," &
	" 822 (BC_2, IO_AA7, output3, X, 821, 1, PULL0)," & --  PAD374
	" 823 (BC_2, IO_AA7, input, X)," & --  PAD374
	" 824 (BC_2, *, controlr, 1)," &
	" 825 (BC_2, IO_AB7, output3, X, 824, 1, PULL0)," & --  PAD373
	" 826 (BC_2, IO_AB7, input, X)," & --  PAD373
	" 827 (BC_2, *, controlr, 1)," &
	" 828 (BC_2, IO_AC7, output3, X, 827, 1, PULL0)," & --  PAD372
	" 829 (BC_2, IO_AC7, input, X)," & --  PAD372
	" 830 (BC_2, *, controlr, 1)," &
	" 831 (BC_2, IO_AE7, output3, X, 830, 1, PULL0)," & --  PAD371
	" 832 (BC_2, IO_AE7, input, X)," & --  PAD371
	" 833 (BC_2, *, controlr, 1)," &
	" 834 (BC_2, IO_AF7, output3, X, 833, 1, PULL0)," & --  PAD370
	" 835 (BC_2, IO_AF7, input, X)," & --  PAD370
	" 836 (BC_2, *, controlr, 1)," &
	" 837 (BC_2, IO_Y8, output3, X, 836, 1, PULL0)," & --  PAD369
	" 838 (BC_2, IO_Y8, input, X)," & --  PAD369
	" 839 (BC_2, *, controlr, 1)," &
	" 840 (BC_2, IO_AA8, output3, X, 839, 1, PULL0)," & --  PAD368
	" 841 (BC_2, IO_AA8, input, X)," & --  PAD368
	" 842 (BC_2, *, controlr, 1)," &
	" 843 (BC_2, IO_AB8, output3, X, 842, 1, PULL0)," & --  PAD367
	" 844 (BC_2, IO_AB8, input, X)," & --  PAD367
	" 845 (BC_2, *, controlr, 1)," &
	" 846 (BC_2, IO_AC8, output3, X, 845, 1, PULL0)," & --  PAD366
	" 847 (BC_2, IO_AC8, input, X)," & --  PAD366
	" 848 (BC_2, *, controlr, 1)," &
	" 849 (BC_2, IO_AD8, output3, X, 848, 1, PULL0)," & --  PAD365
	" 850 (BC_2, IO_AD8, input, X)," & --  PAD365
	" 851 (BC_2, *, internal, 1)," & -- PAD364.T
	" 852 (BC_2, *, internal, X)," & -- PAD364.O
	" 853 (BC_2, *, internal, X)," & -- PAD364.I
	" 854 (BC_2, *, controlr, 1)," &
	" 855 (BC_2, IO_AE8, output3, X, 854, 1, PULL0)," & --  PAD363
	" 856 (BC_2, IO_AE8, input, X)," & --  PAD363
	" 857 (BC_2, *, controlr, 1)," &
	" 858 (BC_2, IO_AF8, output3, X, 857, 1, PULL0)," & --  PAD362
	" 859 (BC_2, IO_AF8, input, X)," & --  PAD362
	" 860 (BC_2, *, controlr, 1)," &
	" 861 (BC_2, IO_Y9, output3, X, 860, 1, PULL0)," & --  PAD361
	" 862 (BC_2, IO_Y9, input, X)," & --  PAD361
	" 863 (BC_2, *, controlr, 1)," &
	" 864 (BC_2, IO_AA9, output3, X, 863, 1, PULL0)," & --  PAD360
	" 865 (BC_2, IO_AA9, input, X)," & --  PAD360
	" 866 (BC_2, *, controlr, 1)," &
	" 867 (BC_2, IO_AB9, output3, X, 866, 1, PULL0)," & --  PAD359
	" 868 (BC_2, IO_AB9, input, X)," & --  PAD359
	" 869 (BC_2, *, internal, 1)," & -- PAD358.T
	" 870 (BC_2, *, internal, X)," & -- PAD358.O
	" 871 (BC_2, *, internal, X)," & -- PAD358.I
	" 872 (BC_2, *, controlr, 1)," &
	" 873 (BC_2, IO_AC9, output3, X, 872, 1, PULL0)," & --  PAD357
	" 874 (BC_2, IO_AC9, input, X)," & --  PAD357
	" 875 (BC_2, *, controlr, 1)," &
	" 876 (BC_2, IO_AD9, output3, X, 875, 1, PULL0)," & --  PAD356
	" 877 (BC_2, IO_AD9, input, X)," & --  PAD356
	" 878 (BC_2, *, controlr, 1)," &
	" 879 (BC_2, IO_AE9, output3, X, 878, 1, PULL0)," & --  PAD355
	" 880 (BC_2, IO_AE9, input, X)," & --  PAD355
	" 881 (BC_2, *, internal, 1)," & -- PAD354.T
	" 882 (BC_2, *, internal, X)," & -- PAD354.O
	" 883 (BC_2, *, internal, X)," & -- PAD354.I
	" 884 (BC_2, *, controlr, 1)," &
	" 885 (BC_2, IO_Y10, output3, X, 884, 1, PULL0)," & --  PAD353
	" 886 (BC_2, IO_Y10, input, X)," & --  PAD353
	" 887 (BC_2, *, controlr, 1)," &
	" 888 (BC_2, IO_AA10, output3, X, 887, 1, PULL0)," & --  PAD352
	" 889 (BC_2, IO_AA10, input, X)," & --  PAD352
	" 890 (BC_2, *, controlr, 1)," &
	" 891 (BC_2, IO_AB10, output3, X, 890, 1, PULL0)," & --  PAD351
	" 892 (BC_2, IO_AB10, input, X)," & --  PAD351
	" 893 (BC_2, *, controlr, 1)," &
	" 894 (BC_2, IO_AC10, output3, X, 893, 1, PULL0)," & --  PAD350
	" 895 (BC_2, IO_AC10, input, X)," & --  PAD350
	" 896 (BC_2, *, controlr, 1)," &
	" 897 (BC_2, IO_AD10, output3, X, 896, 1, PULL0)," & --  PAD349
	" 898 (BC_2, IO_AD10, input, X)," & --  PAD349
	" 899 (BC_2, *, controlr, 1)," &
	" 900 (BC_2, IO_AE10, output3, X, 899, 1, PULL0)," & --  PAD348
	" 901 (BC_2, IO_AE10, input, X)," & --  PAD348
	" 902 (BC_2, *, controlr, 1)," &
	" 903 (BC_2, IO_AF10, output3, X, 902, 1, PULL0)," & --  PAD347
	" 904 (BC_2, IO_AF10, input, X)," & --  PAD347
	" 905 (BC_2, *, controlr, 1)," &
	" 906 (BC_2, IO_W11, output3, X, 905, 1, PULL0)," & --  PAD346
	" 907 (BC_2, IO_W11, input, X)," & --  PAD346
	" 908 (BC_2, *, controlr, 1)," &
	" 909 (BC_2, IO_Y11, output3, X, 908, 1, PULL0)," & --  PAD345
	" 910 (BC_2, IO_Y11, input, X)," & --  PAD345
	" 911 (BC_2, *, internal, 1)," & -- PAD344.T
	" 912 (BC_2, *, internal, X)," & -- PAD344.O
	" 913 (BC_2, *, internal, X)," & -- PAD344.I
	" 914 (BC_2, *, controlr, 1)," &
	" 915 (BC_2, IO_AA11, output3, X, 914, 1, PULL0)," & --  PAD343
	" 916 (BC_2, IO_AA11, input, X)," & --  PAD343
	" 917 (BC_2, *, controlr, 1)," &
	" 918 (BC_2, IO_AB11, output3, X, 917, 1, PULL0)," & --  PAD342
	" 919 (BC_2, IO_AB11, input, X)," & --  PAD342
	" 920 (BC_2, *, controlr, 1)," &
	" 921 (BC_2, IO_AE11, output3, X, 920, 1, PULL0)," & --  PAD341
	" 922 (BC_2, IO_AE11, input, X)," & --  PAD341
	" 923 (BC_2, *, controlr, 1)," &
	" 924 (BC_2, IO_AF11, output3, X, 923, 1, PULL0)," & --  PAD340
	" 925 (BC_2, IO_AF11, input, X)," & --  PAD340
	" 926 (BC_2, *, controlr, 1)," &
	" 927 (BC_2, IO_AC11, output3, X, 926, 1, PULL0)," & --  PAD339
	" 928 (BC_2, IO_AC11, input, X)," & --  PAD339
	" 929 (BC_2, *, controlr, 1)," &
	" 930 (BC_2, IO_W12, output3, X, 929, 1, PULL0)," & --  PAD338
	" 931 (BC_2, IO_W12, input, X)," & --  PAD338
	" 932 (BC_2, *, controlr, 1)," &
	" 933 (BC_2, IO_Y12, output3, X, 932, 1, PULL0)," & --  PAD337
	" 934 (BC_2, IO_Y12, input, X)," & --  PAD337
	" 935 (BC_2, *, controlr, 1)," &
	" 936 (BC_2, IO_AA12, output3, X, 935, 1, PULL0)," & --  PAD336
	" 937 (BC_2, IO_AA12, input, X)," & --  PAD336
	" 938 (BC_2, *, controlr, 1)," &
	" 939 (BC_2, IO_AB12, output3, X, 938, 1, PULL0)," & --  PAD335
	" 940 (BC_2, IO_AB12, input, X)," & --  PAD335
	" 941 (BC_2, *, controlr, 1)," &
	" 942 (BC_2, IO_AD12, output3, X, 941, 1, PULL0)," & --  PAD334
	" 943 (BC_2, IO_AD12, input, X)," & --  PAD334
	" 944 (BC_2, *, controlr, 1)," &
	" 945 (BC_2, IO_AE12, output3, X, 944, 1, PULL0)," & --  PAD333
	" 946 (BC_2, IO_AE12, input, X)," & --  PAD333
	" 947 (BC_2, *, controlr, 1)," &
	" 948 (BC_2, IO_AF12, output3, X, 947, 1, PULL0)," & --  PAD332
	" 949 (BC_2, IO_AF12, input, X)," & --  PAD332
	" 950 (BC_2, *, controlr, 1)," &
	" 951 (BC_2, IO_W13, output3, X, 950, 1, PULL0)," & --  PAD331
	" 952 (BC_2, IO_W13, input, X)," & --  PAD331
	" 953 (BC_2, *, controlr, 1)," &
	" 954 (BC_2, IO_Y13, output3, X, 953, 1, PULL0)," & --  PAD330
	" 955 (BC_2, IO_Y13, input, X)," & --  PAD330
	" 956 (BC_2, *, controlr, 1)," &
	" 957 (BC_2, IO_AA13, output3, X, 956, 1, PULL0)," & --  PAD329
	" 958 (BC_2, IO_AA13, input, X)," & --  PAD329
	" 959 (BC_2, *, controlr, 1)," &
	" 960 (BC_2, IO_AB13, output3, X, 959, 1, PULL0)," & --  PAD328
	" 961 (BC_2, IO_AB13, input, X)," & --  PAD328
	" 962 (BC_2, *, controlr, 1)," &
	" 963 (BC_2, IO_AC13, output3, X, 962, 1, PULL0)," & --  PAD327
	" 964 (BC_2, IO_AC13, input, X)," & --  PAD327
	" 965 (BC_2, *, controlr, 1)," &
	" 966 (BC_2, IO_AD13, output3, X, 965, 1, PULL0)," & --  PAD326
	" 967 (BC_2, IO_AD13, input, X)," & --  PAD326
	" 968 (BC_2, *, controlr, 1)," &
	" 969 (BC_2, IO_AE13, output3, X, 968, 1, PULL0)," & --  PAD325
	" 970 (BC_2, IO_AE13, input, X)," & --  PAD325
	" 971 (BC_2, *, controlr, 1)," &
	" 972 (BC_2, IO_AF13, output3, X, 971, 1, PULL0)," & --  PAD324
	" 973 (BC_2, IO_AF13, input, X)," & --  PAD324
	" 974 (BC_2, *, controlr, 1)," &
	" 975 (BC_2, IO_AF14, output3, X, 974, 1, PULL0)," & --  PAD323
	" 976 (BC_2, IO_AF14, input, X)," & --  PAD323
	" 977 (BC_2, *, controlr, 1)," &
	" 978 (BC_2, IO_AE14, output3, X, 977, 1, PULL0)," & --  PAD322
	" 979 (BC_2, IO_AE14, input, X)," & --  PAD322
	" 980 (BC_2, *, controlr, 1)," &
	" 981 (BC_2, IO_AD14, output3, X, 980, 1, PULL0)," & --  PAD321
	" 982 (BC_2, IO_AD14, input, X)," & --  PAD321
	" 983 (BC_2, *, controlr, 1)," &
	" 984 (BC_2, IO_AC14, output3, X, 983, 1, PULL0)," & --  PAD320
	" 985 (BC_2, IO_AC14, input, X)," & --  PAD320
	" 986 (BC_2, *, controlr, 1)," &
	" 987 (BC_2, IO_AB14, output3, X, 986, 1, PULL0)," & --  PAD319
	" 988 (BC_2, IO_AB14, input, X)," & --  PAD319
	" 989 (BC_2, *, controlr, 1)," &
	" 990 (BC_2, IO_AA14, output3, X, 989, 1, PULL0)," & --  PAD318
	" 991 (BC_2, IO_AA14, input, X)," & --  PAD318
	" 992 (BC_2, *, controlr, 1)," &
	" 993 (BC_2, IO_Y14, output3, X, 992, 1, PULL0)," & --  PAD317
	" 994 (BC_2, IO_Y14, input, X)," & --  PAD317
	" 995 (BC_2, *, controlr, 1)," &
	" 996 (BC_2, IO_AF15, output3, X, 995, 1, PULL0)," & --  PAD316
	" 997 (BC_2, IO_AF15, input, X)," & --  PAD316
	" 998 (BC_2, *, controlr, 1)," &
	" 999 (BC_2, IO_AE15, output3, X, 998, 1, PULL0)," & --  PAD315
	"1000 (BC_2, IO_AE15, input, X)," & --  PAD315
	"1001 (BC_2, *, controlr, 1)," &
	"1002 (BC_2, IO_AD15, output3, X, 1001, 1, PULL0)," & --  PAD314
	"1003 (BC_2, IO_AD15, input, X)," & --  PAD314
	"1004 (BC_2, *, controlr, 1)," &
	"1005 (BC_2, IO_AB15, output3, X, 1004, 1, PULL0)," & --  PAD313
	"1006 (BC_2, IO_AB15, input, X)," & --  PAD313
	"1007 (BC_2, *, controlr, 1)," &
	"1008 (BC_2, IO_AA15, output3, X, 1007, 1, PULL0)," & --  PAD312
	"1009 (BC_2, IO_AA15, input, X)," & --  PAD312
	"1010 (BC_2, *, controlr, 1)," &
	"1011 (BC_2, IO_W14, output3, X, 1010, 1, PULL0)," & --  PAD311
	"1012 (BC_2, IO_W14, input, X)," & --  PAD311
	"1013 (BC_2, *, controlr, 1)," &
	"1014 (BC_2, IO_Y15, output3, X, 1013, 1, PULL0)," & --  PAD310
	"1015 (BC_2, IO_Y15, input, X)," & --  PAD310
	"1016 (BC_2, *, controlr, 1)," &
	"1017 (BC_2, IO_W15, output3, X, 1016, 1, PULL0)," & --  PAD309
	"1018 (BC_2, IO_W15, input, X)," & --  PAD309
	"1019 (BC_2, *, controlr, 1)," &
	"1020 (BC_2, IO_AF16, output3, X, 1019, 1, PULL0)," & --  PAD308
	"1021 (BC_2, IO_AF16, input, X)," & --  PAD308
	"1022 (BC_2, *, controlr, 1)," &
	"1023 (BC_2, IO_AE16, output3, X, 1022, 1, PULL0)," & --  PAD307
	"1024 (BC_2, IO_AE16, input, X)," & --  PAD307
	"1025 (BC_2, *, controlr, 1)," &
	"1026 (BC_2, IO_AC16, output3, X, 1025, 1, PULL0)," & --  PAD306
	"1027 (BC_2, IO_AC16, input, X)," & --  PAD306
	"1028 (BC_2, *, controlr, 1)," &
	"1029 (BC_2, IO_AB16, output3, X, 1028, 1, PULL0)," & --  PAD305
	"1030 (BC_2, IO_AB16, input, X)," & --  PAD305
	"1031 (BC_2, *, internal, 1)," & -- PAD304.T
	"1032 (BC_2, *, internal, X)," & -- PAD304.O
	"1033 (BC_2, *, internal, X)," & -- PAD304.I
	"1034 (BC_2, *, controlr, 1)," &
	"1035 (BC_2, IO_AA16, output3, X, 1034, 1, PULL0)," & --  PAD303
	"1036 (BC_2, IO_AA16, input, X)," & --  PAD303
	"1037 (BC_2, *, controlr, 1)," &
	"1038 (BC_2, IO_Y16, output3, X, 1037, 1, PULL0)," & --  PAD302
	"1039 (BC_2, IO_Y16, input, X)," & --  PAD302
	"1040 (BC_2, *, controlr, 1)," &
	"1041 (BC_2, IO_AF17, output3, X, 1040, 1, PULL0)," & --  PAD301
	"1042 (BC_2, IO_AF17, input, X)," & --  PAD301
	"1043 (BC_2, *, controlr, 1)," &
	"1044 (BC_2, IO_AE17, output3, X, 1043, 1, PULL0)," & --  PAD300
	"1045 (BC_2, IO_AE17, input, X)," & --  PAD300
	"1046 (BC_2, *, controlr, 1)," &
	"1047 (BC_2, IO_W16, output3, X, 1046, 1, PULL0)," & --  PAD299
	"1048 (BC_2, IO_W16, input, X)," & --  PAD299
	"1049 (BC_2, *, controlr, 1)," &
	"1050 (BC_2, IO_AB17, output3, X, 1049, 1, PULL0)," & --  PAD298
	"1051 (BC_2, IO_AB17, input, X)," & --  PAD298
	"1052 (BC_2, *, controlr, 1)," &
	"1053 (BC_2, IO_AD17, output3, X, 1052, 1, PULL0)," & --  PAD297
	"1054 (BC_2, IO_AD17, input, X)," & --  PAD297
	"1055 (BC_2, *, controlr, 1)," &
	"1056 (BC_2, IO_AA17, output3, X, 1055, 1, PULL0)," & --  PAD296
	"1057 (BC_2, IO_AA17, input, X)," & --  PAD296
	"1058 (BC_2, *, controlr, 1)," &
	"1059 (BC_2, IO_AC17, output3, X, 1058, 1, PULL0)," & --  PAD295
	"1060 (BC_2, IO_AC17, input, X)," & --  PAD295
	"1061 (BC_2, *, controlr, 1)," &
	"1062 (BC_2, IO_Y17, output3, X, 1061, 1, PULL0)," & --  PAD294
	"1063 (BC_2, IO_Y17, input, X)," & --  PAD294
	"1064 (BC_2, *, controlr, 1)," &
	"1065 (BC_2, IO_AE18, output3, X, 1064, 1, PULL0)," & --  PAD293
	"1066 (BC_2, IO_AE18, input, X)," & --  PAD293
	"1067 (BC_2, *, controlr, 1)," &
	"1068 (BC_2, IO_AD18, output3, X, 1067, 1, PULL0)," & --  PAD292
	"1069 (BC_2, IO_AD18, input, X)," & --  PAD292
	"1070 (BC_2, *, controlr, 1)," &
	"1071 (BC_2, IO_AC18, output3, X, 1070, 1, PULL0)," & --  PAD291
	"1072 (BC_2, IO_AC18, input, X)," & --  PAD291
	"1073 (BC_2, *, controlr, 1)," &
	"1074 (BC_2, IO_AB18, output3, X, 1073, 1, PULL0)," & --  PAD290
	"1075 (BC_2, IO_AB18, input, X)," & --  PAD290
	"1076 (BC_2, *, internal, 1)," & -- PAD289.T
	"1077 (BC_2, *, internal, X)," & -- PAD289.O
	"1078 (BC_2, *, internal, X)," & -- PAD289.I
	"1079 (BC_2, *, controlr, 1)," &
	"1080 (BC_2, IO_AA18, output3, X, 1079, 1, PULL0)," & --  PAD288
	"1081 (BC_2, IO_AA18, input, X)," & --  PAD288
	"1082 (BC_2, *, controlr, 1)," &
	"1083 (BC_2, IO_Y18, output3, X, 1082, 1, PULL0)," & --  PAD287
	"1084 (BC_2, IO_Y18, input, X)," & --  PAD287
	"1085 (BC_2, *, controlr, 1)," &
	"1086 (BC_2, IO_AF19, output3, X, 1085, 1, PULL0)," & --  PAD286
	"1087 (BC_2, IO_AF19, input, X)," & --  PAD286
	"1088 (BC_2, *, controlr, 1)," &
	"1089 (BC_2, IO_AE19, output3, X, 1088, 1, PULL0)," & --  PAD285
	"1090 (BC_2, IO_AE19, input, X)," & --  PAD285
	"1091 (BC_2, *, controlr, 1)," &
	"1092 (BC_2, IO_AD19, output3, X, 1091, 1, PULL0)," & --  PAD284
	"1093 (BC_2, IO_AD19, input, X)," & --  PAD284
	"1094 (BC_2, *, controlr, 1)," &
	"1095 (BC_2, IO_AC19, output3, X, 1094, 1, PULL0)," & --  PAD283
	"1096 (BC_2, IO_AC19, input, X)," & --  PAD283
	"1097 (BC_2, *, controlr, 1)," &
	"1098 (BC_2, IO_AB19, output3, X, 1097, 1, PULL0)," & --  PAD282
	"1099 (BC_2, IO_AB19, input, X)," & --  PAD282
	"1100 (BC_2, *, controlr, 1)," &
	"1101 (BC_2, IO_AA19, output3, X, 1100, 1, PULL0)," & --  PAD281
	"1102 (BC_2, IO_AA19, input, X)," & --  PAD281
	"1103 (BC_2, *, controlr, 1)," &
	"1104 (BC_2, IO_Y19, output3, X, 1103, 1, PULL0)," & --  PAD280
	"1105 (BC_2, IO_Y19, input, X)," & --  PAD280
	"1106 (BC_2, *, controlr, 1)," &
	"1107 (BC_2, IO_AA20, output3, X, 1106, 1, PULL0)," & --  PAD279
	"1108 (BC_2, IO_AA20, input, X)," & --  PAD279
	"1109 (BC_2, *, controlr, 1)," &
	"1110 (BC_2, IO_AF20, output3, X, 1109, 1, PULL0)," & --  PAD278
	"1111 (BC_2, IO_AF20, input, X)," & --  PAD278
	"1112 (BC_2, *, controlr, 1)," &
	"1113 (BC_2, IO_AE20, output3, X, 1112, 1, PULL0)," & --  PAD277
	"1114 (BC_2, IO_AE20, input, X)," & --  PAD277
	"1115 (BC_2, *, controlr, 1)," &
	"1116 (BC_2, IO_AC20, output3, X, 1115, 1, PULL0)," & --  PAD276
	"1117 (BC_2, IO_AC20, input, X)," & --  PAD276
	"1118 (BC_2, *, controlr, 1)," &
	"1119 (BC_2, IO_AB20, output3, X, 1118, 1, PULL0)," & --  PAD275
	"1120 (BC_2, IO_AB20, input, X)," & --  PAD275
	"1121 (BC_2, *, controlr, 1)," &
	"1122 (BC_2, IO_AF21, output3, X, 1121, 1, PULL0)," & --  PAD274
	"1123 (BC_2, IO_AF21, input, X)," & --  PAD274
	"1124 (BC_2, *, controlr, 1)," &
	"1125 (BC_2, IO_AE21, output3, X, 1124, 1, PULL0)," & --  PAD273
	"1126 (BC_2, IO_AE21, input, X)," & --  PAD273
	"1127 (BC_2, *, controlr, 1)," &
	"1128 (BC_2, IO_AD21, output3, X, 1127, 1, PULL0)," & --  PAD272
	"1129 (BC_2, IO_AD21, input, X)," & --  PAD272
	"1130 (BC_2, *, controlr, 1)," &
	"1131 (BC_2, IO_AC21, output3, X, 1130, 1, PULL0)," & --  PAD271
	"1132 (BC_2, IO_AC21, input, X)," & --  PAD271
	"1133 (BC_2, *, controlr, 1)," &
	"1134 (BC_2, IO_AB21, output3, X, 1133, 1, PULL0)," & --  PAD270
	"1135 (BC_2, IO_AB21, input, X)," & --  PAD270
	"1136 (BC_2, *, controlr, 1)," &
	"1137 (BC_2, IO_AF22, output3, X, 1136, 1, PULL0)," & --  PAD269
	"1138 (BC_2, IO_AF22, input, X)," & --  PAD269
	"1139 (BC_2, *, controlr, 1)," &
	"1140 (BC_2, IO_AE22, output3, X, 1139, 1, PULL0)," & --  PAD268
	"1141 (BC_2, IO_AE22, input, X)," & --  PAD268
	"1142 (BC_2, *, controlr, 1)," &
	"1143 (BC_2, IO_AD22, output3, X, 1142, 1, PULL0)," & --  PAD267
	"1144 (BC_2, IO_AD22, input, X)," & --  PAD267
	"1145 (BC_2, *, controlr, 1)," &
	"1146 (BC_2, IO_AF23, output3, X, 1145, 1, PULL0)," & --  PAD266
	"1147 (BC_2, IO_AF23, input, X)," & --  PAD266
	"1148 (BC_2, *, controlr, 1)," &
	"1149 (BC_2, IO_AE23, output3, X, 1148, 1, PULL0)," & --  PAD265
	"1150 (BC_2, IO_AE23, input, X)," & --  PAD265
	"1151 (BC_2, *, controlr, 1)," &
	"1152 (BC_2, IO_AD23, output3, X, 1151, 1, PULL0)," & --  PAD264
	"1153 (BC_2, IO_AD23, input, X)," & --  PAD264
	"1154 (BC_2, *, controlr, 1)," &
	"1155 (BC_2, IO_AF24, output3, X, 1154, 1, PULL0)," & --  PAD263
	"1156 (BC_2, IO_AF24, input, X)," & --  PAD263
	"1157 (BC_2, *, controlr, 1)," &
	"1158 (BC_2, IO_AE24, output3, X, 1157, 1, PULL0)," & --  PAD262
	"1159 (BC_2, IO_AE24, input, X)," & --  PAD262
	"1160 (BC_2, *, controlr, 1)," &
	"1161 (BC_2, IO_AC22, output3, X, 1160, 1, PULL0)," & --  PAD261
	"1162 (BC_2, IO_AC22, input, X)," & --  PAD261
	"1163 (BC_2, *, controlr, 1)," &
	"1164 (BC_2, IO_AB22, output3, X, 1163, 1, PULL0)," & --  PAD260
	"1165 (BC_2, IO_AB22, input, X)," & --  PAD260
	"1166 (BC_2, *, controlr, 1)," &
	"1167 (BC_2, IO_AD25, output3, X, 1166, 1, PULL0)," & --  PAD259
	"1168 (BC_2, IO_AD25, input, X)," & --  PAD259
	"1169 (BC_2, *, controlr, 1)," &
	"1170 (BC_2, DONE_AC24, output3, X, 1169, 1, PULL1)," &
	"1171 (BC_2, DONE_AC24, input, X)," &
	"1172 (BC_2, *, controlr, 1)," &
	"1173 (BC_2, CCLK_AD26, output3, X, 1172, 1, PULL1)," &
	"1174 (BC_2, CCLK_AD26, input, X)," &
	"1175 (BC_2, *, controlr, 1)," &
	"1176 (BC_2, IO_AA21, output3, X, 1175, 1, PULL0)," & --  PAD258
	"1177 (BC_2, IO_AA21, input, X)," & --  PAD258
	"1178 (BC_2, *, controlr, 1)," &
	"1179 (BC_2, IO_AA22, output3, X, 1178, 1, PULL0)," & --  PAD257
	"1180 (BC_2, IO_AA22, input, X)," & --  PAD257
	"1181 (BC_2, *, controlr, 1)," &
	"1182 (BC_2, IO_AB23, output3, X, 1181, 1, PULL0)," & --  PAD256
	"1183 (BC_2, IO_AB23, input, X)," & --  PAD256
	"1184 (BC_2, *, controlr, 1)," &
	"1185 (BC_2, IO_AB24, output3, X, 1184, 1, PULL0)," & --  PAD255
	"1186 (BC_2, IO_AB24, input, X)," & --  PAD255
	"1187 (BC_2, *, controlr, 1)," &
	"1188 (BC_2, IO_AC25, output3, X, 1187, 1, PULL0)," & --  PAD254
	"1189 (BC_2, IO_AC25, input, X)," & --  PAD254
	"1190 (BC_2, *, controlr, 1)," &
	"1191 (BC_2, IO_AC26, output3, X, 1190, 1, PULL0)," & --  PAD253
	"1192 (BC_2, IO_AC26, input, X)," & --  PAD253
	"1193 (BC_2, *, controlr, 1)," &
	"1194 (BC_2, IO_Y20, output3, X, 1193, 1, PULL0)," & --  PAD252
	"1195 (BC_2, IO_Y20, input, X)," & --  PAD252
	"1196 (BC_2, *, controlr, 1)," &
	"1197 (BC_2, IO_Y21, output3, X, 1196, 1, PULL0)," & --  PAD251
	"1198 (BC_2, IO_Y21, input, X)," & --  PAD251
	"1199 (BC_2, *, controlr, 1)," &
	"1200 (BC_2, IO_AB25, output3, X, 1199, 1, PULL0)," & --  PAD250
	"1201 (BC_2, IO_AB25, input, X)," & --  PAD250
	"1202 (BC_2, *, controlr, 1)," &
	"1203 (BC_2, IO_AB26, output3, X, 1202, 1, PULL0)," & --  PAD249
	"1204 (BC_2, IO_AB26, input, X)," & --  PAD249
	"1205 (BC_2, *, controlr, 1)," &
	"1206 (BC_2, IO_AA23, output3, X, 1205, 1, PULL0)," & --  PAD248
	"1207 (BC_2, IO_AA23, input, X)," & --  PAD248
	"1208 (BC_2, *, controlr, 1)," &
	"1209 (BC_2, IO_AA24, output3, X, 1208, 1, PULL0)," & --  PAD247
	"1210 (BC_2, IO_AA24, input, X)," & --  PAD247
	"1211 (BC_2, *, controlr, 1)," &
	"1212 (BC_2, IO_Y22, output3, X, 1211, 1, PULL0)," & --  PAD246
	"1213 (BC_2, IO_Y22, input, X)," & --  PAD246
	"1214 (BC_2, *, controlr, 1)," &
	"1215 (BC_2, IO_Y23, output3, X, 1214, 1, PULL0)," & --  PAD245
	"1216 (BC_2, IO_Y23, input, X)," & --  PAD245
	"1217 (BC_2, *, controlr, 1)," &
	"1218 (BC_2, IO_AA25, output3, X, 1217, 1, PULL0)," & --  PAD244
	"1219 (BC_2, IO_AA25, input, X)," & --  PAD244
	"1220 (BC_2, *, controlr, 1)," &
	"1221 (BC_2, IO_AA26, output3, X, 1220, 1, PULL0)," & --  PAD243
	"1222 (BC_2, IO_AA26, input, X)," & --  PAD243
	"1223 (BC_2, *, controlr, 1)," &
	"1224 (BC_2, IO_W20, output3, X, 1223, 1, PULL0)," & --  PAD242
	"1225 (BC_2, IO_W20, input, X)," & --  PAD242
	"1226 (BC_2, *, controlr, 1)," &
	"1227 (BC_2, IO_W21, output3, X, 1226, 1, PULL0)," & --  PAD241
	"1228 (BC_2, IO_W21, input, X)," & --  PAD241
	"1229 (BC_2, *, controlr, 1)," &
	"1230 (BC_2, IO_Y25, output3, X, 1229, 1, PULL0)," & --  PAD240
	"1231 (BC_2, IO_Y25, input, X)," & --  PAD240
	"1232 (BC_2, *, controlr, 1)," &
	"1233 (BC_2, IO_Y26, output3, X, 1232, 1, PULL0)," & --  PAD239
	"1234 (BC_2, IO_Y26, input, X)," & --  PAD239
	"1235 (BC_2, *, controlr, 1)," &
	"1236 (BC_2, IO_W22, output3, X, 1235, 1, PULL0)," & --  PAD238
	"1237 (BC_2, IO_W22, input, X)," & --  PAD238
	"1238 (BC_2, *, controlr, 1)," &
	"1239 (BC_2, IO_V21, output3, X, 1238, 1, PULL0)," & --  PAD237
	"1240 (BC_2, IO_V21, input, X)," & --  PAD237
	"1241 (BC_2, *, controlr, 1)," &
	"1242 (BC_2, IO_W23, output3, X, 1241, 1, PULL0)," & --  PAD236
	"1243 (BC_2, IO_W23, input, X)," & --  PAD236
	"1244 (BC_2, *, controlr, 1)," &
	"1245 (BC_2, IO_W24, output3, X, 1244, 1, PULL0)," & --  PAD235
	"1246 (BC_2, IO_W24, input, X)," & --  PAD235
	"1247 (BC_2, *, controlr, 1)," &
	"1248 (BC_2, IO_W25, output3, X, 1247, 1, PULL0)," & --  PAD234
	"1249 (BC_2, IO_W25, input, X)," & --  PAD234
	"1250 (BC_2, *, controlr, 1)," &
	"1251 (BC_2, IO_W26, output3, X, 1250, 1, PULL0)," & --  PAD233
	"1252 (BC_2, IO_W26, input, X)," & --  PAD233
	"1253 (BC_2, *, controlr, 1)," &
	"1254 (BC_2, IO_V20, output3, X, 1253, 1, PULL0)," & --  PAD232
	"1255 (BC_2, IO_V20, input, X)," & --  PAD232
	"1256 (BC_2, *, controlr, 1)," &
	"1257 (BC_2, IO_U20, output3, X, 1256, 1, PULL0)," & --  PAD231
	"1258 (BC_2, IO_U20, input, X)," & --  PAD231
	"1259 (BC_2, *, controlr, 1)," &
	"1260 (BC_2, IO_V22, output3, X, 1259, 1, PULL0)," & --  PAD230
	"1261 (BC_2, IO_V22, input, X)," & --  PAD230
	"1262 (BC_2, *, controlr, 1)," &
	"1263 (BC_2, IO_V23, output3, X, 1262, 1, PULL0)," & --  PAD229
	"1264 (BC_2, IO_V23, input, X)," & --  PAD229
	"1265 (BC_2, *, controlr, 1)," &
	"1266 (BC_2, IO_V24, output3, X, 1265, 1, PULL0)," & --  PAD228
	"1267 (BC_2, IO_V24, input, X)," & --  PAD228
	"1268 (BC_2, *, controlr, 1)," &
	"1269 (BC_2, IO_V25, output3, X, 1268, 1, PULL0)," & --  PAD227
	"1270 (BC_2, IO_V25, input, X)," & --  PAD227
	"1271 (BC_2, *, controlr, 1)," &
	"1272 (BC_2, IO_U21, output3, X, 1271, 1, PULL0)," & --  PAD226
	"1273 (BC_2, IO_U21, input, X)," & --  PAD226
	"1274 (BC_2, *, controlr, 1)," &
	"1275 (BC_2, IO_U22, output3, X, 1274, 1, PULL0)," & --  PAD225
	"1276 (BC_2, IO_U22, input, X)," & --  PAD225
	"1277 (BC_2, *, controlr, 1)," &
	"1278 (BC_2, IO_U23, output3, X, 1277, 1, PULL0)," & --  PAD224
	"1279 (BC_2, IO_U23, input, X)," & --  PAD224
	"1280 (BC_2, *, controlr, 1)," &
	"1281 (BC_2, IO_U24, output3, X, 1280, 1, PULL0)," & --  PAD223
	"1282 (BC_2, IO_U24, input, X)," & --  PAD223
	"1283 (BC_2, *, controlr, 1)," &
	"1284 (BC_2, IO_U25, output3, X, 1283, 1, PULL0)," & --  PAD222
	"1285 (BC_2, IO_U25, input, X)," & --  PAD222
	"1286 (BC_2, *, controlr, 1)," &
	"1287 (BC_2, IO_U26, output3, X, 1286, 1, PULL0)," & --  PAD221
	"1288 (BC_2, IO_U26, input, X)," & --  PAD221
	"1289 (BC_2, *, controlr, 1)," &
	"1290 (BC_2, IO_T19, output3, X, 1289, 1, PULL0)," & --  PAD220
	"1291 (BC_2, IO_T19, input, X)," & --  PAD220
	"1292 (BC_2, *, controlr, 1)," &
	"1293 (BC_2, IO_T20, output3, X, 1292, 1, PULL0)," & --  PAD219
	"1294 (BC_2, IO_T20, input, X)," & --  PAD219
	"1295 (BC_2, *, controlr, 1)," &
	"1296 (BC_2, IO_T21, output3, X, 1295, 1, PULL0)," & --  PAD218
	"1297 (BC_2, IO_T21, input, X)," & --  PAD218
	"1298 (BC_2, *, controlr, 1)," &
	"1299 (BC_2, IO_T22, output3, X, 1298, 1, PULL0)," & --  PAD217
	"1300 (BC_2, IO_T22, input, X)," & --  PAD217
	"1301 (BC_2, *, controlr, 1)," &
	"1302 (BC_2, IO_T25, output3, X, 1301, 1, PULL0)," & --  PAD216
	"1303 (BC_2, IO_T25, input, X)," & --  PAD216
	"1304 (BC_2, *, controlr, 1)," &
	"1305 (BC_2, IO_T26, output3, X, 1304, 1, PULL0)," & --  PAD215
	"1306 (BC_2, IO_T26, input, X)," & --  PAD215
	"1307 (BC_2, *, internal, 1)," & -- PAD214.T
	"1308 (BC_2, *, internal, X)," & -- PAD214.O
	"1309 (BC_2, *, internal, X)," & -- PAD214.I
	"1310 (BC_2, *, internal, 1)," & -- PAD213.T
	"1311 (BC_2, *, internal, X)," & -- PAD213.O
	"1312 (BC_2, *, internal, X)," & -- PAD213.I
	"1313 (BC_2, *, controlr, 1)," &
	"1314 (BC_2, IO_R19, output3, X, 1313, 1, PULL0)," & --  PAD212
	"1315 (BC_2, IO_R19, input, X)," & --  PAD212
	"1316 (BC_2, *, controlr, 1)," &
	"1317 (BC_2, IO_R20, output3, X, 1316, 1, PULL0)," & --  PAD211
	"1318 (BC_2, IO_R20, input, X)," & --  PAD211
	"1319 (BC_2, *, controlr, 1)," &
	"1320 (BC_2, IO_R21, output3, X, 1319, 1, PULL0)," & --  PAD210
	"1321 (BC_2, IO_R21, input, X)," & --  PAD210
	"1322 (BC_2, *, controlr, 1)," &
	"1323 (BC_2, IO_R22, output3, X, 1322, 1, PULL0)," & --  PAD209
	"1324 (BC_2, IO_R22, input, X)," & --  PAD209
	"1325 (BC_2, *, controlr, 1)," &
	"1326 (BC_2, IO_T23, output3, X, 1325, 1, PULL0)," & --  PAD208
	"1327 (BC_2, IO_T23, input, X)," & --  PAD208
	"1328 (BC_2, *, controlr, 1)," &
	"1329 (BC_2, IO_R24, output3, X, 1328, 1, PULL0)," & --  PAD207
	"1330 (BC_2, IO_R24, input, X)," & --  PAD207
	"1331 (BC_2, *, controlr, 1)," &
	"1332 (BC_2, IO_R25, output3, X, 1331, 1, PULL0)," & --  PAD206
	"1333 (BC_2, IO_R25, input, X)," & --  PAD206
	"1334 (BC_2, *, controlr, 1)," &
	"1335 (BC_2, IO_R26, output3, X, 1334, 1, PULL0)," & --  PAD205
	"1336 (BC_2, IO_R26, input, X)," & --  PAD205
	"1337 (BC_2, *, controlr, 1)," &
	"1338 (BC_2, IO_P19, output3, X, 1337, 1, PULL0)," & --  PAD204
	"1339 (BC_2, IO_P19, input, X)," & --  PAD204
	"1340 (BC_2, *, controlr, 1)," &
	"1341 (BC_2, IO_P20, output3, X, 1340, 1, PULL0)," & --  PAD203
	"1342 (BC_2, IO_P20, input, X)," & --  PAD203
	"1343 (BC_2, *, internal, 1)," & -- PAD202.T
	"1344 (BC_2, *, internal, X)," & -- PAD202.O
	"1345 (BC_2, *, internal, X)," & -- PAD202.I
	"1346 (BC_2, *, internal, 1)," & -- PAD201.T
	"1347 (BC_2, *, internal, X)," & -- PAD201.O
	"1348 (BC_2, *, internal, X)," & -- PAD201.I
	"1349 (BC_2, *, controlr, 1)," &
	"1350 (BC_2, IO_P21, output3, X, 1349, 1, PULL0)," & --  PAD200
	"1351 (BC_2, IO_P21, input, X)," & --  PAD200
	"1352 (BC_2, *, controlr, 1)," &
	"1353 (BC_2, IO_P22, output3, X, 1352, 1, PULL0)," & --  PAD199
	"1354 (BC_2, IO_P22, input, X)," & --  PAD199
	"1355 (BC_2, *, controlr, 1)," &
	"1356 (BC_2, IO_P23, output3, X, 1355, 1, PULL0)," & --  PAD198
	"1357 (BC_2, IO_P23, input, X)," & --  PAD198
	"1358 (BC_2, *, controlr, 1)," &
	"1359 (BC_2, IO_P24, output3, X, 1358, 1, PULL0)," & --  PAD197
	"1360 (BC_2, IO_P24, input, X)," & --  PAD197
	"1361 (BC_2, *, controlr, 1)," &
	"1362 (BC_2, IO_P25, output3, X, 1361, 1, PULL0)," & --  PAD196
	"1363 (BC_2, IO_P25, input, X)," & --  PAD196
	"1364 (BC_2, *, controlr, 1)," &
	"1365 (BC_2, IO_P26, output3, X, 1364, 1, PULL0)," & --  PAD195
	"1366 (BC_2, IO_P26, input, X)," & --  PAD195
	"1367 (BC_2, *, controlr, 1)," &
	"1368 (BC_2, IO_N26, output3, X, 1367, 1, PULL0)," & --  PAD194
	"1369 (BC_2, IO_N26, input, X)," & --  PAD194
	"1370 (BC_2, *, controlr, 1)," &
	"1371 (BC_2, IO_N25, output3, X, 1370, 1, PULL0)," & --  PAD193
	"1372 (BC_2, IO_N25, input, X)," & --  PAD193
	"1373 (BC_2, *, controlr, 1)," &
	"1374 (BC_2, IO_N24, output3, X, 1373, 1, PULL0)," & --  PAD192
	"1375 (BC_2, IO_N24, input, X)," & --  PAD192
	"1376 (BC_2, *, controlr, 1)," &
	"1377 (BC_2, IO_N23, output3, X, 1376, 1, PULL0)," & --  PAD191
	"1378 (BC_2, IO_N23, input, X)," & --  PAD191
	"1379 (BC_2, *, controlr, 1)," &
	"1380 (BC_2, IO_N22, output3, X, 1379, 1, PULL0)," & --  PAD190
	"1381 (BC_2, IO_N22, input, X)," & --  PAD190
	"1382 (BC_2, *, controlr, 1)," &
	"1383 (BC_2, IO_N21, output3, X, 1382, 1, PULL0)," & --  PAD189
	"1384 (BC_2, IO_N21, input, X)," & --  PAD189
	"1385 (BC_2, *, internal, 1)," & -- PAD188.T
	"1386 (BC_2, *, internal, X)," & -- PAD188.O
	"1387 (BC_2, *, internal, X)," & -- PAD188.I
	"1388 (BC_2, *, internal, 1)," & -- PAD187.T
	"1389 (BC_2, *, internal, X)," & -- PAD187.O
	"1390 (BC_2, *, internal, X)," & -- PAD187.I
	"1391 (BC_2, *, controlr, 1)," &
	"1392 (BC_2, IO_N20, output3, X, 1391, 1, PULL0)," & --  PAD186
	"1393 (BC_2, IO_N20, input, X)," & --  PAD186
	"1394 (BC_2, *, controlr, 1)," &
	"1395 (BC_2, IO_N19, output3, X, 1394, 1, PULL0)," & --  PAD185
	"1396 (BC_2, IO_N19, input, X)," & --  PAD185
	"1397 (BC_2, *, controlr, 1)," &
	"1398 (BC_2, IO_M26, output3, X, 1397, 1, PULL0)," & --  PAD184
	"1399 (BC_2, IO_M26, input, X)," & --  PAD184
	"1400 (BC_2, *, controlr, 1)," &
	"1401 (BC_2, IO_M25, output3, X, 1400, 1, PULL0)," & --  PAD183
	"1402 (BC_2, IO_M25, input, X)," & --  PAD183
	"1403 (BC_2, *, controlr, 1)," &
	"1404 (BC_2, IO_M24, output3, X, 1403, 1, PULL0)," & --  PAD182
	"1405 (BC_2, IO_M24, input, X)," & --  PAD182
	"1406 (BC_2, *, controlr, 1)," &
	"1407 (BC_2, IO_L23, output3, X, 1406, 1, PULL0)," & --  PAD181
	"1408 (BC_2, IO_L23, input, X)," & --  PAD181
	"1409 (BC_2, *, controlr, 1)," &
	"1410 (BC_2, IO_M22, output3, X, 1409, 1, PULL0)," & --  PAD180
	"1411 (BC_2, IO_M22, input, X)," & --  PAD180
	"1412 (BC_2, *, controlr, 1)," &
	"1413 (BC_2, IO_M21, output3, X, 1412, 1, PULL0)," & --  PAD179
	"1414 (BC_2, IO_M21, input, X)," & --  PAD179
	"1415 (BC_2, *, controlr, 1)," &
	"1416 (BC_2, IO_M20, output3, X, 1415, 1, PULL0)," & --  PAD178
	"1417 (BC_2, IO_M20, input, X)," & --  PAD178
	"1418 (BC_2, *, controlr, 1)," &
	"1419 (BC_2, IO_M19, output3, X, 1418, 1, PULL0)," & --  PAD177
	"1420 (BC_2, IO_M19, input, X)," & --  PAD177
	"1421 (BC_2, *, internal, 1)," & -- PAD176.T
	"1422 (BC_2, *, internal, X)," & -- PAD176.O
	"1423 (BC_2, *, internal, X)," & -- PAD176.I
	"1424 (BC_2, *, internal, 1)," & -- PAD175.T
	"1425 (BC_2, *, internal, X)," & -- PAD175.O
	"1426 (BC_2, *, internal, X)," & -- PAD175.I
	"1427 (BC_2, *, controlr, 1)," &
	"1428 (BC_2, IO_L26, output3, X, 1427, 1, PULL0)," & --  PAD174
	"1429 (BC_2, IO_L26, input, X)," & --  PAD174
	"1430 (BC_2, *, controlr, 1)," &
	"1431 (BC_2, IO_L25, output3, X, 1430, 1, PULL0)," & --  PAD173
	"1432 (BC_2, IO_L25, input, X)," & --  PAD173
	"1433 (BC_2, *, controlr, 1)," &
	"1434 (BC_2, IO_L22, output3, X, 1433, 1, PULL0)," & --  PAD172
	"1435 (BC_2, IO_L22, input, X)," & --  PAD172
	"1436 (BC_2, *, controlr, 1)," &
	"1437 (BC_2, IO_L21, output3, X, 1436, 1, PULL0)," & --  PAD171
	"1438 (BC_2, IO_L21, input, X)," & --  PAD171
	"1439 (BC_2, *, controlr, 1)," &
	"1440 (BC_2, IO_L20, output3, X, 1439, 1, PULL0)," & --  PAD170
	"1441 (BC_2, IO_L20, input, X)," & --  PAD170
	"1442 (BC_2, *, controlr, 1)," &
	"1443 (BC_2, IO_L19, output3, X, 1442, 1, PULL0)," & --  PAD169
	"1444 (BC_2, IO_L19, input, X)," & --  PAD169
	"1445 (BC_2, *, controlr, 1)," &
	"1446 (BC_2, IO_K26, output3, X, 1445, 1, PULL0)," & --  PAD168
	"1447 (BC_2, IO_K26, input, X)," & --  PAD168
	"1448 (BC_2, *, controlr, 1)," &
	"1449 (BC_2, IO_K25, output3, X, 1448, 1, PULL0)," & --  PAD167
	"1450 (BC_2, IO_K25, input, X)," & --  PAD167
	"1451 (BC_2, *, controlr, 1)," &
	"1452 (BC_2, IO_K24, output3, X, 1451, 1, PULL0)," & --  PAD166
	"1453 (BC_2, IO_K24, input, X)," & --  PAD166
	"1454 (BC_2, *, controlr, 1)," &
	"1455 (BC_2, IO_K23, output3, X, 1454, 1, PULL0)," & --  PAD165
	"1456 (BC_2, IO_K23, input, X)," & --  PAD165
	"1457 (BC_2, *, controlr, 1)," &
	"1458 (BC_2, IO_K22, output3, X, 1457, 1, PULL0)," & --  PAD164
	"1459 (BC_2, IO_K22, input, X)," & --  PAD164
	"1460 (BC_2, *, controlr, 1)," &
	"1461 (BC_2, IO_K21, output3, X, 1460, 1, PULL0)," & --  PAD163
	"1462 (BC_2, IO_K21, input, X)," & --  PAD163
	"1463 (BC_2, *, controlr, 1)," &
	"1464 (BC_2, IO_J25, output3, X, 1463, 1, PULL0)," & --  PAD162
	"1465 (BC_2, IO_J25, input, X)," & --  PAD162
	"1466 (BC_2, *, controlr, 1)," &
	"1467 (BC_2, IO_J24, output3, X, 1466, 1, PULL0)," & --  PAD161
	"1468 (BC_2, IO_J24, input, X)," & --  PAD161
	"1469 (BC_2, *, controlr, 1)," &
	"1470 (BC_2, IO_J23, output3, X, 1469, 1, PULL0)," & --  PAD160
	"1471 (BC_2, IO_J23, input, X)," & --  PAD160
	"1472 (BC_2, *, controlr, 1)," &
	"1473 (BC_2, IO_J22, output3, X, 1472, 1, PULL0)," & --  PAD159
	"1474 (BC_2, IO_J22, input, X)," & --  PAD159
	"1475 (BC_2, *, controlr, 1)," &
	"1476 (BC_2, IO_K20, output3, X, 1475, 1, PULL0)," & --  PAD158
	"1477 (BC_2, IO_K20, input, X)," & --  PAD158
	"1478 (BC_2, *, controlr, 1)," &
	"1479 (BC_2, IO_J20, output3, X, 1478, 1, PULL0)," & --  PAD157
	"1480 (BC_2, IO_J20, input, X)," & --  PAD157
	"1481 (BC_2, *, controlr, 1)," &
	"1482 (BC_2, IO_H26, output3, X, 1481, 1, PULL0)," & --  PAD156
	"1483 (BC_2, IO_H26, input, X)," & --  PAD156
	"1484 (BC_2, *, controlr, 1)," &
	"1485 (BC_2, IO_H25, output3, X, 1484, 1, PULL0)," & --  PAD155
	"1486 (BC_2, IO_H25, input, X)," & --  PAD155
	"1487 (BC_2, *, controlr, 1)," &
	"1488 (BC_2, IO_H24, output3, X, 1487, 1, PULL0)," & --  PAD154
	"1489 (BC_2, IO_H24, input, X)," & --  PAD154
	"1490 (BC_2, *, controlr, 1)," &
	"1491 (BC_2, IO_H23, output3, X, 1490, 1, PULL0)," & --  PAD153
	"1492 (BC_2, IO_H23, input, X)," & --  PAD153
	"1493 (BC_2, *, controlr, 1)," &
	"1494 (BC_2, IO_J21, output3, X, 1493, 1, PULL0)," & --  PAD152
	"1495 (BC_2, IO_J21, input, X)," & --  PAD152
	"1496 (BC_2, *, controlr, 1)," &
	"1497 (BC_2, IO_H22, output3, X, 1496, 1, PULL0)," & --  PAD151
	"1498 (BC_2, IO_H22, input, X)," & --  PAD151
	"1499 (BC_2, *, controlr, 1)," &
	"1500 (BC_2, IO_H21, output3, X, 1499, 1, PULL0)," & --  PAD150
	"1501 (BC_2, IO_H21, input, X)," & --  PAD150
	"1502 (BC_2, *, controlr, 1)," &
	"1503 (BC_2, IO_H20, output3, X, 1502, 1, PULL0)," & --  PAD149
	"1504 (BC_2, IO_H20, input, X)," & --  PAD149
	"1505 (BC_2, *, controlr, 1)," &
	"1506 (BC_2, IO_G26, output3, X, 1505, 1, PULL0)," & --  PAD148
	"1507 (BC_2, IO_G26, input, X)," & --  PAD148
	"1508 (BC_2, *, controlr, 1)," &
	"1509 (BC_2, IO_G25, output3, X, 1508, 1, PULL0)," & --  PAD147
	"1510 (BC_2, IO_G25, input, X)," & --  PAD147
	"1511 (BC_2, *, controlr, 1)," &
	"1512 (BC_2, IO_F26, output3, X, 1511, 1, PULL0)," & --  PAD146
	"1513 (BC_2, IO_F26, input, X)," & --  PAD146
	"1514 (BC_2, *, controlr, 1)," &
	"1515 (BC_2, IO_F25, output3, X, 1514, 1, PULL0)," & --  PAD145
	"1516 (BC_2, IO_F25, input, X)," & --  PAD145
	"1517 (BC_2, *, controlr, 1)," &
	"1518 (BC_2, IO_G23, output3, X, 1517, 1, PULL0)," & --  PAD144
	"1519 (BC_2, IO_G23, input, X)," & --  PAD144
	"1520 (BC_2, *, controlr, 1)," &
	"1521 (BC_2, IO_G22, output3, X, 1520, 1, PULL0)," & --  PAD143
	"1522 (BC_2, IO_G22, input, X)," & --  PAD143
	"1523 (BC_2, *, controlr, 1)," &
	"1524 (BC_2, IO_F24, output3, X, 1523, 1, PULL0)," & --  PAD142
	"1525 (BC_2, IO_F24, input, X)," & --  PAD142
	"1526 (BC_2, *, controlr, 1)," &
	"1527 (BC_2, IO_F23, output3, X, 1526, 1, PULL0)," & --  PAD141
	"1528 (BC_2, IO_F23, input, X)," & --  PAD141
	"1529 (BC_2, *, controlr, 1)," &
	"1530 (BC_2, IO_G21, output3, X, 1529, 1, PULL0)," & --  PAD140
	"1531 (BC_2, IO_G21, input, X)," & --  PAD140
	"1532 (BC_2, *, controlr, 1)," &
	"1533 (BC_2, IO_G20, output3, X, 1532, 1, PULL0)," & --  PAD139
	"1534 (BC_2, IO_G20, input, X)," & --  PAD139
	"1535 (BC_2, *, controlr, 1)," &
	"1536 (BC_2, IO_E26, output3, X, 1535, 1, PULL0)," & --  PAD138
	"1537 (BC_2, IO_E26, input, X)," & --  PAD138
	"1538 (BC_2, *, controlr, 1)," &
	"1539 (BC_2, IO_E25, output3, X, 1538, 1, PULL0)," & --  PAD137
	"1540 (BC_2, IO_E25, input, X)," & --  PAD137
	"1541 (BC_2, *, controlr, 1)," &
	"1542 (BC_2, IO_D26, output3, X, 1541, 1, PULL0)," & --  PAD136
	"1543 (BC_2, IO_D26, input, X)," & --  PAD136
	"1544 (BC_2, *, controlr, 1)," &
	"1545 (BC_2, IO_D25, output3, X, 1544, 1, PULL0)," & --  PAD135
	"1546 (BC_2, IO_D25, input, X)," & --  PAD135
	"1547 (BC_2, *, controlr, 1)," &
	"1548 (BC_2, IO_E24, output3, X, 1547, 1, PULL0)," & --  PAD134
	"1549 (BC_2, IO_E24, input, X)," & --  PAD134
	"1550 (BC_2, *, controlr, 1)," &
	"1551 (BC_2, IO_E23, output3, X, 1550, 1, PULL0)," & --  PAD133
	"1552 (BC_2, IO_E23, input, X)," & --  PAD133
	"1553 (BC_2, *, controlr, 1)," &
	"1554 (BC_2, IO_C26, output3, X, 1553, 1, PULL0)," & --  PAD132
	"1555 (BC_2, IO_C26, input, X)," & --  PAD132
	"1556 (BC_2, *, controlr, 1)," &
	"1557 (BC_2, IO_C25, output3, X, 1556, 1, PULL0)," & --  PAD131
	"1558 (BC_2, IO_C25, input, X)"; --  PAD131

	
attribute DESIGN_WARNING of XC3S1500_FG676 : entity is
        "This is a preliminary BSDL file which has not been verified." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "To avoid losing the current configuration, the boundary scan" &
                "test vectors should keep the PROG_B pin" &
                "high.  If the PROG_B pin goes low by any means," &
                "the configuration will be cleared." &
        "PROG_B can only be captured, not updated." &
                "The value at the pin is always used by the device." &
        "HSWAP_EN, M0, M1, and M2 can only be captured, not updated." &
                "The value at the pin is always used by the device." &
        "The disable result of a 3-stated I/O in this file" &
                "correspond to HSWAP_EN being high.  When HSWAP_EN" &
                "is low, change all PULL0s to PULL1." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control value is not captured properly when" &
                "GTS is activated.";

end XC3S1500_FG676;