BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XC2S400E_FG676

--$ XILINX$RCSfile: xc2s400e_fg676.bsd,v $
--$ XILINX$Revision: 1.1 $
--
-- BSDL file for device XC2S400E, package FG676
-- Xilinx, Inc. $State: PRELIMINARY $ $Date: 2002-11-19 09:36:22-08 $
-- Generated by createBSDL 2.21
--
-- For technical support, contact Xilinx as follows:
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	(44) 1932 820821	ukhelp@xilinx.com
--	France		(33) 1 3463 0100	frhelp@xilinx.com
--	Germany		(49) 89 991 54930	dlhelp@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--

-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- The boundary scan test vectors must keep the PROGRAM pin either 3-stated
-- or driving high. If the PROGRAM pin is driven low through any means,
-- the TAP controller will reset.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an LVTTL
-- input buffer. In order to properly capture a logic high value driven from one
-- of these IOBs into an input boundary scan cell, VCCO must be
-- at least 2V (Vih for LVTTL).
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- The disable value of a 3-stated I/O is set to PULL0 - the proper value
-- for configuration modes without the pre-configuration
-- pullups (M2,M1,M0 set to 000, 101, 110, or 111). If not in one of
-- these modes, all PULL0's should be PULL1's. Additionally, when in
-- EXTEST updating the values for M2, M1, and M0 will directly affect
-- the existence of the pre-configuration pullup.
-- It is therefore recommended to shift in the same mode being driven
-- externally when relying upon the these values.



entity XC2S400E_FG676 is

generic (PHYSICAL_PIN_MAP : string := "FG676" );

port (
	CCLK_A25: inout bit;
	DONE_AE26: inout bit;
	GCK0_AF14: in bit;
	GCK1_AF13: in bit;
	GCK2_A14: in bit;
	GCK3_A13: in bit;
	GND: linkage bit_vector (1 to 76);
	INIT_AD25: inout bit; --  PAD240
	M0_AF3: in bit;
	M1_AE3: in bit;
	M2_AD4: in bit;
	NOCONNECT: linkage bit_vector (1 to 104);
	PROGRAM: in bit;
	TCK: in bit;
	TDI: in bit;
	TDO: out bit;
	TMS: in bit;
	VCCINT: linkage bit_vector (1 to 20);
	VCCO0: linkage bit_vector (1 to 7);
	VCCO1: linkage bit_vector (1 to 7);
	VCCO2: linkage bit_vector (1 to 7);
	VCCO3: linkage bit_vector (1 to 7);
	VCCO4: linkage bit_vector (1 to 7);
	VCCO5: linkage bit_vector (1 to 7);
	VCCO6: linkage bit_vector (1 to 7);
	VCCO7: linkage bit_vector (1 to 7);
	IO_A3: inout bit; --  PAD4
	IO_A4: inout bit; --  PAD2
	IO_A5: inout bit; --  PAD10
	IO_A6: inout bit; --  PAD16
	IO_A7: inout bit; --  PAD23
	IO_A8: inout bit; --  PAD27
	IO_A9: inout bit; --  PAD35
	IO_A10: inout bit; --  PAD40
	IO_A11: inout bit; --  PAD48
	IO_A15: inout bit; --  PAD73
	IO_A17: inout bit; --  PAD86
	IO_A18: inout bit; --  PAD95
	IO_A19: inout bit; --  PAD101
	IO_A20: inout bit; --  PAD105
	IO_A21: inout bit; --  PAD111
	IO_A22: inout bit; --  PAD115
	IO_A24: inout bit; --  PAD119
	IO_B4: inout bit; --  PAD6
	IO_B5: inout bit; --  PAD9
	IO_B6: inout bit; --  PAD15
	IO_B7: inout bit; --  PAD22
	IO_B8: inout bit; --  PAD26
	IO_B9: inout bit; --  PAD34
	IO_B10: inout bit; --  PAD39
	IO_B11: inout bit; --  PAD47
	IO_B12: inout bit; --  PAD54
	IO_B13: inout bit; --  PAD60
	IO_B14: inout bit; --  PAD61
	IO_B15: inout bit; --  PAD74
	IO_B16: inout bit; --  PAD81
	IO_B17: inout bit; --  PAD87
	IO_B18: inout bit; --  PAD96
	IO_B19: inout bit; --  PAD102
	IO_B20: inout bit; --  PAD106
	IO_B21: inout bit; --  PAD112
	IO_B22: inout bit; --  PAD116
	IO_B23: inout bit; --  PAD117
	IO_B24: inout bit; --  PAD120
	IO_B26: inout bit; --  PAD121
	IO_C4: inout bit; --  PAD5
	IO_C6: inout bit; --  PAD14
	IO_C7: inout bit; --  PAD20
	IO_C9: inout bit; --  PAD32
	IO_C13: inout bit; --  PAD65
	IO_C14: inout bit; --  PAD66
	IO_C16: inout bit; --  PAD82
	IO_C17: inout bit; --  PAD89
	IO_C18: inout bit; --  PAD97
	IO_C20: inout bit; --  PAD107
	IO_C21: inout bit; --  PAD113
	IO_C26: inout bit; --  PAD122
	IO_D1: inout bit; --  PAD477
	IO_D3: inout bit; --  PAD479
	IO_D5: inout bit; --  PAD8
	IO_D6: inout bit; --  PAD13
	IO_D7: inout bit; --  PAD19
	IO_D9: inout bit; --  PAD31
	IO_D10: inout bit; --  PAD38
	IO_D12: inout bit; --  PAD53
	IO_D13: inout bit; --  PAD64
	IO_D14: inout bit; --  PAD67
	IO_D17: inout bit; --  PAD90
	IO_D20: inout bit; --  PAD108
	IO_D21: inout bit; --  PAD114
	IO_D26: inout bit; --  PAD124
	IO_E1: inout bit; --  PAD475
	IO_E2: inout bit; --  PAD476
	IO_E4: inout bit; --  PAD474
	IO_E5: inout bit; --  PAD7
	IO_E6: inout bit; --  PAD12
	IO_E8: inout bit; --  PAD24
	IO_E9: inout bit; --  PAD30
	IO_E10: inout bit; --  PAD37
	IO_E11: inout bit; --  PAD46
	IO_E12: inout bit; --  PAD52
	IO_E14: inout bit; --  PAD68
	IO_E15: inout bit; --  PAD75
	IO_E16: inout bit; --  PAD83
	IO_E17: inout bit; --  PAD91
	IO_E18: inout bit; --  PAD92
	IO_E20: inout bit; --  PAD109
	IO_E21: inout bit; --  PAD125
	IO_E22: inout bit; --  PAD127
	IO_E23: inout bit; --  PAD128
	IO_E25: inout bit; --  PAD129
	IO_E26: inout bit; --  PAD130
	IO_F1: inout bit; --  PAD469
	IO_F3: inout bit; --  PAD471
	IO_F4: inout bit; --  PAD472
	IO_F5: inout bit; --  PAD473
	IO_F7: inout bit; --  PAD18
	IO_F9: inout bit; --  PAD29
	IO_F11: inout bit; --  PAD45
	IO_F13: inout bit; --  PAD56
	IO_F14: inout bit; --  PAD69
	IO_F15: inout bit; --  PAD76
	IO_F16: inout bit; --  PAD84
	IO_F18: inout bit; --  PAD98
	IO_F19: inout bit; --  PAD103
	IO_F21: inout bit; --  PAD126
	IO_F23: inout bit; --  PAD132
	IO_F24: inout bit; --  PAD133
	IO_F25: inout bit; --  PAD134
	IO_F26: inout bit; --  PAD135
	IO_G1: inout bit; --  PAD463
	IO_G2: inout bit; --  PAD464
	IO_G3: inout bit; --  PAD465
	IO_G4: inout bit; --  PAD466
	IO_G5: inout bit; --  PAD467
	IO_G6: inout bit; --  PAD468
	IO_G7: inout bit; --  PAD17
	IO_G8: inout bit; --  PAD21
	IO_G9: inout bit; --  PAD28
	IO_G11: inout bit; --  PAD43
	IO_G12: inout bit; --  PAD50
	IO_G13: inout bit; --  PAD55
	IO_G17: inout bit; --  PAD93
	IO_G18: inout bit; --  PAD99
	IO_G19: inout bit; --  PAD104
	IO_G20: inout bit; --  PAD136
	IO_G21: inout bit; --  PAD137
	IO_G22: inout bit; --  PAD138
	IO_G23: inout bit; --  PAD139
	IO_G24: inout bit; --  PAD140
	IO_G25: inout bit; --  PAD141
	IO_H1: inout bit; --  PAD458
	IO_H2: inout bit; --  PAD459
	IO_H5: inout bit; --  PAD460
	IO_H6: inout bit; --  PAD461
	IO_H7: inout bit; --  PAD462
	IO_H9: inout bit; --  PAD25
	IO_H11: inout bit; --  PAD42
	IO_H12: inout bit; --  PAD49
	IO_H14: inout bit; --  PAD71
	IO_H15: inout bit; --  PAD78
	IO_H17: inout bit; --  PAD94
	IO_H18: inout bit; --  PAD100
	IO_H20: inout bit; --  PAD142
	IO_H21: inout bit; --  PAD143
	IO_H22: inout bit; --  PAD145
	IO_H26: inout bit; --  PAD144
	IO_J1: inout bit; --  PAD451
	IO_J2: inout bit; --  PAD452
	IO_J3: inout bit; --  PAD454
	IO_J4: inout bit; --  PAD455
	IO_J5: inout bit; --  PAD456
	IO_J7: inout bit; --  PAD457
	IO_J14: inout bit; --  PAD72
	IO_J15: inout bit; --  PAD79
	IO_J19: inout bit; --  PAD146
	IO_J20: inout bit; --  PAD147
	IO_J21: inout bit; --  PAD148
	IO_J22: inout bit; --  PAD149
	IO_J23: inout bit; --  PAD150
	IO_J24: inout bit; --  PAD151
	IO_J25: inout bit; --  PAD152
	IO_J26: inout bit; --  PAD154
	IO_K2: inout bit; --  PAD446
	IO_K3: inout bit; --  PAD447
	IO_K5: inout bit; --  PAD453
	IO_K7: inout bit; --  PAD449
	IO_K8: inout bit; --  PAD450
	IO_K19: inout bit; --  PAD155
	IO_K20: inout bit; --  PAD157
	IO_K23: inout bit; --  PAD158
	IO_K25: inout bit; --  PAD159
	IO_K26: inout bit; --  PAD160
	IO_L1: inout bit; --  PAD438
	IO_L2: inout bit; --  PAD439
	IO_L5: inout bit; --  PAD441
	IO_L6: inout bit; --  PAD442
	IO_L7: inout bit; --  PAD443
	IO_L8: inout bit; --  PAD444
	IO_L20: inout bit; --  PAD162
	IO_L21: inout bit; --  PAD163
	IO_L24: inout bit; --  PAD165
	IO_L25: inout bit; --  PAD166
	IO_M1: inout bit; --  PAD431
	IO_M2: inout bit; --  PAD432
	IO_M5: inout bit; --  PAD433
	IO_M6: inout bit; --  PAD434
	IO_M7: inout bit; --  PAD435
	IO_M8: inout bit; --  PAD436
	IO_M18: inout bit; --  PAD167
	IO_M19: inout bit; --  PAD168
	IO_M20: inout bit; --  PAD169
	IO_M21: inout bit; --  PAD170
	IO_M23: inout bit; --  PAD176
	IO_M25: inout bit; --  PAD172
	IO_M26: inout bit; --  PAD173
	IO_N2: inout bit; --  PAD424
	IO_N3: inout bit; --  PAD425
	IO_N5: inout bit; --  PAD426
	IO_N6: inout bit; --  PAD427
	IO_N7: inout bit; --  PAD428
	IO_N8: inout bit; --  PAD429
	IO_N20: inout bit; --  PAD174
	IO_N21: inout bit; --  PAD175
	IO_N22: inout bit; --  PAD177
	IO_N24: inout bit; --  PAD181
	IO_N25: inout bit; --  PAD179
	IO_N26: inout bit; --  PAD180
	IO_P1: inout bit; --  PAD422
	IO_P2: inout bit; --  PAD421
	IO_P3: inout bit; --  PAD420
	IO_P6: inout bit; --  PAD417
	IO_P7: inout bit; --  PAD416
	IO_P8: inout bit; --  PAD415
	IO_P9: inout bit; --  PAD414
	IO_P20: inout bit; --  PAD189
	IO_P21: inout bit; --  PAD188
	IO_P22: inout bit; --  PAD187
	IO_P23: inout bit; --  PAD186
	IO_P25: inout bit; --  PAD185
	IO_P26: inout bit; --  PAD184
	IO_R1: inout bit; --  PAD413
	IO_R2: inout bit; --  PAD412
	IO_R5: inout bit; --  PAD410
	IO_R6: inout bit; --  PAD409
	IO_R8: inout bit; --  PAD408
	IO_R9: inout bit; --  PAD407
	IO_R18: inout bit; --  PAD206
	IO_R20: inout bit; --  PAD196
	IO_R21: inout bit; --  PAD195
	IO_R22: inout bit; --  PAD194
	IO_R23: inout bit; --  PAD193
	IO_R25: inout bit; --  PAD192
	IO_R26: inout bit; --  PAD191
	IO_T1: inout bit; --  PAD406
	IO_T2: inout bit; --  PAD405
	IO_T5: inout bit; --  PAD403
	IO_T6: inout bit; --  PAD402
	IO_T7: inout bit; --  PAD400
	IO_T8: inout bit; --  PAD399
	IO_T19: inout bit; --  PAD204
	IO_T20: inout bit; --  PAD203
	IO_T21: inout bit; --  PAD202
	IO_T22: inout bit; --  PAD201
	IO_T25: inout bit; --  PAD199
	IO_T26: inout bit; --  PAD198
	IO_U2: inout bit; --  PAD398
	IO_U3: inout bit; --  PAD397
	IO_U5: inout bit; --  PAD395
	IO_U8: inout bit; --  PAD394
	IO_U19: inout bit; --  PAD212
	IO_U20: inout bit; --  PAD211
	IO_U22: inout bit; --  PAD210
	IO_U23: inout bit; --  PAD209
	IO_U26: inout bit; --  PAD207
	IO_V1: inout bit; --  PAD392
	IO_V2: inout bit; --  PAD391
	IO_V3: inout bit; --  PAD390
	IO_V4: inout bit; --  PAD389
	IO_V5: inout bit; --  PAD388
	IO_V6: inout bit; --  PAD387
	IO_V7: inout bit; --  PAD386
	IO_V14: inout bit; --  PAD305
	IO_V15: inout bit; --  PAD287
	IO_V19: inout bit; --  PAD219
	IO_V20: inout bit; --  PAD218
	IO_V22: inout bit; --  PAD217
	IO_V23: inout bit; --  PAD216
	IO_V24: inout bit; --  PAD215
	IO_V25: inout bit; --  PAD214
	IO_V26: inout bit; --  PAD213
	IO_W1: inout bit; --  PAD385
	IO_W2: inout bit; --  PAD384
	IO_W5: inout bit; --  PAD383
	IO_W6: inout bit; --  PAD382
	IO_W7: inout bit; --  PAD381
	IO_W9: inout bit; --  PAD339
	IO_W10: inout bit; --  PAD332
	IO_W11: inout bit; --  PAD324
	IO_W15: inout bit; --  PAD288
	IO_W17: inout bit; --  PAD274
	IO_W18: inout bit; --  PAD266
	IO_W20: inout bit; --  PAD220
	IO_W21: inout bit; --  PAD224
	IO_W22: inout bit; --  PAD223
	IO_W25: inout bit; --  PAD222
	IO_W26: inout bit; --  PAD221
	IO_Y1: inout bit; --  PAD380
	IO_Y2: inout bit; --  PAD379
	IO_Y4: inout bit; --  PAD378
	IO_Y5: inout bit; --  PAD377
	IO_Y6: inout bit; --  PAD376
	IO_Y8: inout bit; --  PAD344
	IO_Y9: inout bit; --  PAD338
	IO_Y10: inout bit; --  PAD331
	IO_Y11: inout bit; --  PAD323
	IO_Y12: inout bit; --  PAD316
	IO_Y13: inout bit; --  PAD309
	IO_Y14: inout bit; --  PAD294
	IO_Y15: inout bit; --  PAD289
	IO_Y16: inout bit; --  PAD282
	IO_Y17: inout bit; --  PAD275
	IO_Y18: inout bit; --  PAD267
	IO_Y19: inout bit; --  PAD262
	IO_Y20: inout bit; --  PAD256
	IO_Y22: inout bit; --  PAD229
	IO_Y23: inout bit; --  PAD228
	IO_Y24: inout bit; --  PAD227
	IO_Y25: inout bit; --  PAD226
	IO_Y26: inout bit; --  PAD225
	IO_AA1: inout bit; --  PAD375
	IO_AA2: inout bit; --  PAD374
	IO_AA3: inout bit; --  PAD373
	IO_AA4: inout bit; --  PAD372
	IO_AA5: inout bit; --  PAD370
	IO_AA6: inout bit; --  PAD356
	IO_AA8: inout bit; --  PAD343
	IO_AA11: inout bit; --  PAD322
	IO_AA12: inout bit; --  PAD315
	IO_AA13: inout bit; --  PAD308
	IO_AA14: inout bit; --  PAD295
	IO_AA15: inout bit; --  PAD290
	IO_AA16: inout bit; --  PAD283
	IO_AA18: inout bit; --  PAD268
	IO_AA19: inout bit; --  PAD263
	IO_AA20: inout bit; --  PAD257
	IO_AA21: inout bit; --  PAD247
	IO_AA23: inout bit; --  PAD234
	IO_AA24: inout bit; --  PAD233
	IO_AA25: inout bit; --  PAD232
	IO_AA26: inout bit; --  PAD231
	IO_AB1: inout bit; --  PAD368
	IO_AB2: inout bit; --  PAD367
	IO_AB4: inout bit; --  PAD364
	IO_AB5: inout bit; --  PAD369
	IO_AB6: inout bit; --  PAD355
	IO_AB7: inout bit; --  PAD349
	IO_AB8: inout bit; --  PAD340
	IO_AB9: inout bit; --  PAD337
	IO_AB10: inout bit; --  PAD330
	IO_AB11: inout bit; --  PAD321
	IO_AB12: inout bit; --  PAD314
	IO_AB13: inout bit; --  PAD307
	IO_AB14: inout bit; --  PAD296
	IO_AB18: inout bit; --  PAD269
	IO_AB19: inout bit; --  PAD265
	IO_AB20: inout bit; --  PAD258
	IO_AB21: inout bit; --  PAD248
	IO_AB23: inout bit; --  PAD237
	IO_AB25: inout bit; --  PAD236
	IO_AB26: inout bit; --  PAD235
	IO_AC2: inout bit; --  PAD366
	IO_AC3: inout bit; --  PAD365
	IO_AC5: inout bit; --  PAD360
	IO_AC6: inout bit; --  PAD354
	IO_AC7: inout bit; --  PAD348
	IO_AC9: inout bit; --  PAD336
	IO_AC10: inout bit; --  PAD329
	IO_AC12: inout bit; --  PAD313
	IO_AC13: inout bit; --  PAD306
	IO_AC14: inout bit; --  PAD297
	IO_AC17: inout bit; --  PAD277
	IO_AC18: inout bit; --  PAD270
	IO_AC20: inout bit; --  PAD259
	IO_AC21: inout bit; --  PAD252
	IO_AD6: inout bit; --  PAD353
	IO_AD7: inout bit; --  PAD347
	IO_AD9: inout bit; --  PAD335
	IO_AD16: inout bit; --  PAD285
	IO_AD17: inout bit; --  PAD278
	IO_AD18: inout bit; --  PAD271
	IO_AD20: inout bit; --  PAD260
	IO_AD21: inout bit; --  PAD253
	IO_AD23: inout bit; --  PAD244
	IO_AD26: inout bit; --  PAD239
	IO_AE1: inout bit; --  PAD362
	IO_AE4: inout bit; --  PAD359
	IO_AE6: inout bit; --  PAD352
	IO_AE7: inout bit; --  PAD346
	IO_AE8: inout bit; --  PAD342
	IO_AE9: inout bit; --  PAD334
	IO_AE10: inout bit; --  PAD327
	IO_AE11: inout bit; --  PAD319
	IO_AE12: inout bit; --  PAD312
	IO_AE13: inout bit; --  PAD301
	IO_AE14: inout bit; --  PAD300
	IO_AE15: inout bit; --  PAD292
	IO_AE16: inout bit; --  PAD286
	IO_AE17: inout bit; --  PAD279
	IO_AE18: inout bit; --  PAD272
	IO_AE20: inout bit; --  PAD261
	IO_AE21: inout bit; --  PAD254
	IO_AE22: inout bit; --  PAD249
	IO_AE23: inout bit; --  PAD245
	IO_AF2: inout bit; --  PAD361
	IO_AF5: inout bit; --  PAD357
	IO_AF6: inout bit; --  PAD351
	IO_AF7: inout bit; --  PAD345
	IO_AF8: inout bit; --  PAD341
	IO_AF9: inout bit; --  PAD333
	IO_AF10: inout bit; --  PAD326
	IO_AF11: inout bit; --  PAD318
	IO_AF12: inout bit; --  PAD311
	IO_AF15: inout bit; --  PAD293
	IO_AF17: inout bit; --  PAD280
	IO_AF19: inout bit; --  PAD264
	IO_AF21: inout bit; --  PAD255
	IO_AF22: inout bit; --  PAD250
	IO_AF23: inout bit; --  PAD246
	IO_AF24: inout bit; --  PAD242
	IO_AF25: inout bit --  PAD241
); --end port list

use STD_1149_1_1994.all;

attribute COMPONENT_CONFORMANCE of XC2S400E_FG676 : entity is
	"STD_1149_1_1993";

attribute PIN_MAP of XC2S400E_FG676 : entity is PHYSICAL_PIN_MAP;

constant FG676: PIN_MAP_STRING:=
	"CCLK_A25:A25," &
	"DONE_AE26:AE26," &
	"GCK0_AF14:AF14," &
	"GCK1_AF13:AF13," &
	"GCK2_A14:A14," &
	"GCK3_A13:A13," &
	"GND:(A1,A26,B2,B25,C3,C12,C15,C24,D4,D8," &
		"D19,D23,F10,F17,H4,H23,K6,K21,L11,L12," &
		"L13,L14,L15,L16,M3,M11,M12,M13,M14,M15," &
		"M16,M24,N11,N12,N13,N14,N15,N16,P11,P12," &
		"P13,P14,P15,P16,R3,R11,R12,R13,R14,R15," &
		"R16,R24,T11,T12,T13,T14,T15,T16,U6,U21," &
		"W4,W23,AA10,AA17,AC4,AC8,AC19,AC23,AD3,AD12," &
		"AD15,AD24,AE2,AE25,AF1,AF26)," &
	"INIT_AD25:AD25," &
	"M0_AF3:AF3," &
	"M1_AE3:AE3," &
	"M2_AD4:AD4," &
	"NOCONNECT:(A12,A16,A23,B3,C1,C2,C10,C11,C25,D2," &
		"D15,D18,D24,D25,E7,E13,E19,F2,F6,F8," &
		"F12,F20,F22,G10,G14,G15,G16,G26,H10,H13," &
		"H16,H25,J6,J8,J12,J13,K1,K4,K22,K24," &
		"L3,L19,L22,L26,M4,M9,M22,N1,N4,N9," &
		"N18,N19,N23,P4,P5,P18,P19,P24,R4,R7," &
		"R19,T3,T24,U1,U4,U7,U24,U25,V8,V12," &
		"V13,V21,W12,W13,W14,W16,Y3,Y7,Y21,AA7," &
		"AA9,AA22,AB15,AB16,AB17,AB22,AC1,AC15,AC22,AC25," &
		"AC26,AD1,AD2,AD10,AD11,AD13,AD14,AE5,AE19,AE24," &
		"AF4,AF16,AF18,AF20)," &
	"PROGRAM:AC24," &
	"TCK:A2," &
	"TDI:D22," &
	"TDO:C23," &
	"TMS:B1," &
	"VCCINT:(H8,H19,J9,J18,K10,K11,K16,K17,L10,L17," &
		"T10,T17,U10,U11,U16,U17,V9,V18,W8,W19)," &
	"VCCO0:(C5,C8,D11,J10,J11,K12,K13)," &
	"VCCO1:(C19,C22,D16,J16,J17,K14,K15)," &
	"VCCO2:(E24,H24,K18,L18,L23,M17,N17)," &
	"VCCO3:(P17,R17,T18,T23,U18,W24,AB24)," &
	"VCCO4:(U14,U15,V16,V17,AC16,AD19,AD22)," &
	"VCCO5:(U12,U13,V10,V11,AC11,AD5,AD8)," &
	"VCCO6:(P10,R10,T4,T9,U9,W3,AB3)," &
	"VCCO7:(E3,H3,K9,L4,L9,M10,N10)," &
	"IO_A3:A3," &
	"IO_A4:A4," &
	"IO_A5:A5," &
	"IO_A6:A6," &
	"IO_A7:A7," &
	"IO_A8:A8," &
	"IO_A9:A9," &
	"IO_A10:A10," &
	"IO_A11:A11," &
	"IO_A15:A15," &
	"IO_A17:A17," &
	"IO_A18:A18," &
	"IO_A19:A19," &
	"IO_A20:A20," &
	"IO_A21:A21," &
	"IO_A22:A22," &
	"IO_A24:A24," &
	"IO_B4:B4," &
	"IO_B5:B5," &
	"IO_B6:B6," &
	"IO_B7:B7," &
	"IO_B8:B8," &
	"IO_B9:B9," &
	"IO_B10:B10," &
	"IO_B11:B11," &
	"IO_B12:B12," &
	"IO_B13:B13," &
	"IO_B14:B14," &
	"IO_B15:B15," &
	"IO_B16:B16," &
	"IO_B17:B17," &
	"IO_B18:B18," &
	"IO_B19:B19," &
	"IO_B20:B20," &
	"IO_B21:B21," &
	"IO_B22:B22," &
	"IO_B23:B23," &
	"IO_B24:B24," &
	"IO_B26:B26," &
	"IO_C4:C4," &
	"IO_C6:C6," &
	"IO_C7:C7," &
	"IO_C9:C9," &
	"IO_C13:C13," &
	"IO_C14:C14," &
	"IO_C16:C16," &
	"IO_C17:C17," &
	"IO_C18:C18," &
	"IO_C20:C20," &
	"IO_C21:C21," &
	"IO_C26:C26," &
	"IO_D1:D1," &
	"IO_D3:D3," &
	"IO_D5:D5," &
	"IO_D6:D6," &
	"IO_D7:D7," &
	"IO_D9:D9," &
	"IO_D10:D10," &
	"IO_D12:D12," &
	"IO_D13:D13," &
	"IO_D14:D14," &
	"IO_D17:D17," &
	"IO_D20:D20," &
	"IO_D21:D21," &
	"IO_D26:D26," &
	"IO_E1:E1," &
	"IO_E2:E2," &
	"IO_E4:E4," &
	"IO_E5:E5," &
	"IO_E6:E6," &
	"IO_E8:E8," &
	"IO_E9:E9," &
	"IO_E10:E10," &
	"IO_E11:E11," &
	"IO_E12:E12," &
	"IO_E14:E14," &
	"IO_E15:E15," &
	"IO_E16:E16," &
	"IO_E17:E17," &
	"IO_E18:E18," &
	"IO_E20:E20," &
	"IO_E21:E21," &
	"IO_E22:E22," &
	"IO_E23:E23," &
	"IO_E25:E25," &
	"IO_E26:E26," &
	"IO_F1:F1," &
	"IO_F3:F3," &
	"IO_F4:F4," &
	"IO_F5:F5," &
	"IO_F7:F7," &
	"IO_F9:F9," &
	"IO_F11:F11," &
	"IO_F13:F13," &
	"IO_F14:F14," &
	"IO_F15:F15," &
	"IO_F16:F16," &
	"IO_F18:F18," &
	"IO_F19:F19," &
	"IO_F21:F21," &
	"IO_F23:F23," &
	"IO_F24:F24," &
	"IO_F25:F25," &
	"IO_F26:F26," &
	"IO_G1:G1," &
	"IO_G2:G2," &
	"IO_G3:G3," &
	"IO_G4:G4," &
	"IO_G5:G5," &
	"IO_G6:G6," &
	"IO_G7:G7," &
	"IO_G8:G8," &
	"IO_G9:G9," &
	"IO_G11:G11," &
	"IO_G12:G12," &
	"IO_G13:G13," &
	"IO_G17:G17," &
	"IO_G18:G18," &
	"IO_G19:G19," &
	"IO_G20:G20," &
	"IO_G21:G21," &
	"IO_G22:G22," &
	"IO_G23:G23," &
	"IO_G24:G24," &
	"IO_G25:G25," &
	"IO_H1:H1," &
	"IO_H2:H2," &
	"IO_H5:H5," &
	"IO_H6:H6," &
	"IO_H7:H7," &
	"IO_H9:H9," &
	"IO_H11:H11," &
	"IO_H12:H12," &
	"IO_H14:H14," &
	"IO_H15:H15," &
	"IO_H17:H17," &
	"IO_H18:H18," &
	"IO_H20:H20," &
	"IO_H21:H21," &
	"IO_H22:H22," &
	"IO_H26:H26," &
	"IO_J1:J1," &
	"IO_J2:J2," &
	"IO_J3:J3," &
	"IO_J4:J4," &
	"IO_J5:J5," &
	"IO_J7:J7," &
	"IO_J14:J14," &
	"IO_J15:J15," &
	"IO_J19:J19," &
	"IO_J20:J20," &
	"IO_J21:J21," &
	"IO_J22:J22," &
	"IO_J23:J23," &
	"IO_J24:J24," &
	"IO_J25:J25," &
	"IO_J26:J26," &
	"IO_K2:K2," &
	"IO_K3:K3," &
	"IO_K5:K5," &
	"IO_K7:K7," &
	"IO_K8:K8," &
	"IO_K19:K19," &
	"IO_K20:K20," &
	"IO_K23:K23," &
	"IO_K25:K25," &
	"IO_K26:K26," &
	"IO_L1:L1," &
	"IO_L2:L2," &
	"IO_L5:L5," &
	"IO_L6:L6," &
	"IO_L7:L7," &
	"IO_L8:L8," &
	"IO_L20:L20," &
	"IO_L21:L21," &
	"IO_L24:L24," &
	"IO_L25:L25," &
	"IO_M1:M1," &
	"IO_M2:M2," &
	"IO_M5:M5," &
	"IO_M6:M6," &
	"IO_M7:M7," &
	"IO_M8:M8," &
	"IO_M18:M18," &
	"IO_M19:M19," &
	"IO_M20:M20," &
	"IO_M21:M21," &
	"IO_M23:M23," &
	"IO_M25:M25," &
	"IO_M26:M26," &
	"IO_N2:N2," &
	"IO_N3:N3," &
	"IO_N5:N5," &
	"IO_N6:N6," &
	"IO_N7:N7," &
	"IO_N8:N8," &
	"IO_N20:N20," &
	"IO_N21:N21," &
	"IO_N22:N22," &
	"IO_N24:N24," &
	"IO_N25:N25," &
	"IO_N26:N26," &
	"IO_P1:P1," &
	"IO_P2:P2," &
	"IO_P3:P3," &
	"IO_P6:P6," &
	"IO_P7:P7," &
	"IO_P8:P8," &
	"IO_P9:P9," &
	"IO_P20:P20," &
	"IO_P21:P21," &
	"IO_P22:P22," &
	"IO_P23:P23," &
	"IO_P25:P25," &
	"IO_P26:P26," &
	"IO_R1:R1," &
	"IO_R2:R2," &
	"IO_R5:R5," &
	"IO_R6:R6," &
	"IO_R8:R8," &
	"IO_R9:R9," &
	"IO_R18:R18," &
	"IO_R20:R20," &
	"IO_R21:R21," &
	"IO_R22:R22," &
	"IO_R23:R23," &
	"IO_R25:R25," &
	"IO_R26:R26," &
	"IO_T1:T1," &
	"IO_T2:T2," &
	"IO_T5:T5," &
	"IO_T6:T6," &
	"IO_T7:T7," &
	"IO_T8:T8," &
	"IO_T19:T19," &
	"IO_T20:T20," &
	"IO_T21:T21," &
	"IO_T22:T22," &
	"IO_T25:T25," &
	"IO_T26:T26," &
	"IO_U2:U2," &
	"IO_U3:U3," &
	"IO_U5:U5," &
	"IO_U8:U8," &
	"IO_U19:U19," &
	"IO_U20:U20," &
	"IO_U22:U22," &
	"IO_U23:U23," &
	"IO_U26:U26," &
	"IO_V1:V1," &
	"IO_V2:V2," &
	"IO_V3:V3," &
	"IO_V4:V4," &
	"IO_V5:V5," &
	"IO_V6:V6," &
	"IO_V7:V7," &
	"IO_V14:V14," &
	"IO_V15:V15," &
	"IO_V19:V19," &
	"IO_V20:V20," &
	"IO_V22:V22," &
	"IO_V23:V23," &
	"IO_V24:V24," &
	"IO_V25:V25," &
	"IO_V26:V26," &
	"IO_W1:W1," &
	"IO_W2:W2," &
	"IO_W5:W5," &
	"IO_W6:W6," &
	"IO_W7:W7," &
	"IO_W9:W9," &
	"IO_W10:W10," &
	"IO_W11:W11," &
	"IO_W15:W15," &
	"IO_W17:W17," &
	"IO_W18:W18," &
	"IO_W20:W20," &
	"IO_W21:W21," &
	"IO_W22:W22," &
	"IO_W25:W25," &
	"IO_W26:W26," &
	"IO_Y1:Y1," &
	"IO_Y2:Y2," &
	"IO_Y4:Y4," &
	"IO_Y5:Y5," &
	"IO_Y6:Y6," &
	"IO_Y8:Y8," &
	"IO_Y9:Y9," &
	"IO_Y10:Y10," &
	"IO_Y11:Y11," &
	"IO_Y12:Y12," &
	"IO_Y13:Y13," &
	"IO_Y14:Y14," &
	"IO_Y15:Y15," &
	"IO_Y16:Y16," &
	"IO_Y17:Y17," &
	"IO_Y18:Y18," &
	"IO_Y19:Y19," &
	"IO_Y20:Y20," &
	"IO_Y22:Y22," &
	"IO_Y23:Y23," &
	"IO_Y24:Y24," &
	"IO_Y25:Y25," &
	"IO_Y26:Y26," &
	"IO_AA1:AA1," &
	"IO_AA2:AA2," &
	"IO_AA3:AA3," &
	"IO_AA4:AA4," &
	"IO_AA5:AA5," &
	"IO_AA6:AA6," &
	"IO_AA8:AA8," &
	"IO_AA11:AA11," &
	"IO_AA12:AA12," &
	"IO_AA13:AA13," &
	"IO_AA14:AA14," &
	"IO_AA15:AA15," &
	"IO_AA16:AA16," &
	"IO_AA18:AA18," &
	"IO_AA19:AA19," &
	"IO_AA20:AA20," &
	"IO_AA21:AA21," &
	"IO_AA23:AA23," &
	"IO_AA24:AA24," &
	"IO_AA25:AA25," &
	"IO_AA26:AA26," &
	"IO_AB1:AB1," &
	"IO_AB2:AB2," &
	"IO_AB4:AB4," &
	"IO_AB5:AB5," &
	"IO_AB6:AB6," &
	"IO_AB7:AB7," &
	"IO_AB8:AB8," &
	"IO_AB9:AB9," &
	"IO_AB10:AB10," &
	"IO_AB11:AB11," &
	"IO_AB12:AB12," &
	"IO_AB13:AB13," &
	"IO_AB14:AB14," &
	"IO_AB18:AB18," &
	"IO_AB19:AB19," &
	"IO_AB20:AB20," &
	"IO_AB21:AB21," &
	"IO_AB23:AB23," &
	"IO_AB25:AB25," &
	"IO_AB26:AB26," &
	"IO_AC2:AC2," &
	"IO_AC3:AC3," &
	"IO_AC5:AC5," &
	"IO_AC6:AC6," &
	"IO_AC7:AC7," &
	"IO_AC9:AC9," &
	"IO_AC10:AC10," &
	"IO_AC12:AC12," &
	"IO_AC13:AC13," &
	"IO_AC14:AC14," &
	"IO_AC17:AC17," &
	"IO_AC18:AC18," &
	"IO_AC20:AC20," &
	"IO_AC21:AC21," &
	"IO_AD6:AD6," &
	"IO_AD7:AD7," &
	"IO_AD9:AD9," &
	"IO_AD16:AD16," &
	"IO_AD17:AD17," &
	"IO_AD18:AD18," &
	"IO_AD20:AD20," &
	"IO_AD21:AD21," &
	"IO_AD23:AD23," &
	"IO_AD26:AD26," &
	"IO_AE1:AE1," &
	"IO_AE4:AE4," &
	"IO_AE6:AE6," &
	"IO_AE7:AE7," &
	"IO_AE8:AE8," &
	"IO_AE9:AE9," &
	"IO_AE10:AE10," &
	"IO_AE11:AE11," &
	"IO_AE12:AE12," &
	"IO_AE13:AE13," &
	"IO_AE14:AE14," &
	"IO_AE15:AE15," &
	"IO_AE16:AE16," &
	"IO_AE17:AE17," &
	"IO_AE18:AE18," &
	"IO_AE20:AE20," &
	"IO_AE21:AE21," &
	"IO_AE22:AE22," &
	"IO_AE23:AE23," &
	"IO_AF2:AF2," &
	"IO_AF5:AF5," &
	"IO_AF6:AF6," &
	"IO_AF7:AF7," &
	"IO_AF8:AF8," &
	"IO_AF9:AF9," &
	"IO_AF10:AF10," &
	"IO_AF11:AF11," &
	"IO_AF12:AF12," &
	"IO_AF15:AF15," &
	"IO_AF17:AF17," &
	"IO_AF19:AF19," &
	"IO_AF21:AF21," &
	"IO_AF22:AF22," &
	"IO_AF23:AF23," &
	"IO_AF24:AF24," &
	"IO_AF25:AF25";


attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;


attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);



attribute COMPLIANCE_PATTERNS of XC2S400E_FG676 : entity is
        "(PROGRAM) (1)";

attribute INSTRUCTION_LENGTH of XC2S400E_FG676 : entity is 5;

attribute INSTRUCTION_OPCODE of XC2S400E_FG676 : entity is
	"SAMPLE (00001)," &
	"INTEST (00111)," &
	"USERCODE (01000)," &
	"IDCODE (01001)," &
	"HIGHZ (01010)," &
	"JSTART (01100)," & -- Not available during configuration with another mode.
	"RESERVED (00110)," &
	"CFG_OUT (00100)," & -- Not available during configuration with another mode.
	"CFG_IN (00101)," & -- Not available during configuration with another mode.
	"USER2 (00011)," & -- Not available until after configuration
	"USER1 (00010)," & -- Not available until after configuration
	"EXTEST (00000)," &
	"BYPASS (11111)";


attribute INSTRUCTION_CAPTURE of XC2S400E_FG676 : entity is "XXX01";
-- Bit 4 of instruction capture is PROGRAM. Bit 3 is INIT.  Bit 2 is DONE.

  
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
 

 
attribute INSTRUCTION_PRIVATE of XC2S400E_FG676 : entity is
	"USER1," &
	"USER2," &
	"JSTART," &
	"CFG_IN," &
	"RESERVED," &
	"CFG_OUT";

 

 
attribute IDCODE_REGISTER of XC2S400E_FG676 : entity is
	"XXXX" &	-- version
	"0000101" &	-- family
	"000101000" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1

 

 
attribute USERCODE_REGISTER of XC2S400E_FG676 : entity is
	"XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
 

 
attribute REGISTER_ACCESS of XC2S400E_FG676 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
	"BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,INTEST,EXTEST)";

 

attribute BOUNDARY_LENGTH of XC2S400E_FG676 : entity is 1454;

attribute BOUNDARY_REGISTER of XC2S400E_FG676 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_1, *, controlr, 1)," &
	"   1 (BC_1, IO_B24, output3, X, 0, 1, PULL0)," & --  PAD120
	"   2 (BC_1, IO_B24, input, X)," & --  PAD120
	"   3 (BC_1, *, controlr, 1)," &
	"   4 (BC_1, IO_A24, output3, X, 3, 1, PULL0)," & --  PAD119
	"   5 (BC_1, IO_A24, input, X)," & --  PAD119
	"   6 (BC_1, *, internal, 1)," & -- PAD118.T
	"   7 (BC_1, *, internal, X)," & -- PAD118.O
	"   8 (BC_1, *, internal, X)," & -- PAD118.I
	"   9 (BC_1, *, controlr, 1)," &
	"  10 (BC_1, IO_B23, output3, X, 9, 1, PULL0)," & --  PAD117
	"  11 (BC_1, IO_B23, input, X)," & --  PAD117
	"  12 (BC_1, *, controlr, 1)," &
	"  13 (BC_1, IO_B22, output3, X, 12, 1, PULL0)," & --  PAD116
	"  14 (BC_1, IO_B22, input, X)," & --  PAD116
	"  15 (BC_1, *, controlr, 1)," &
	"  16 (BC_1, IO_A22, output3, X, 15, 1, PULL0)," & --  PAD115
	"  17 (BC_1, IO_A22, input, X)," & --  PAD115
	"  18 (BC_1, *, controlr, 1)," &
	"  19 (BC_1, IO_D21, output3, X, 18, 1, PULL0)," & --  PAD114
	"  20 (BC_1, IO_D21, input, X)," & --  PAD114
	"  21 (BC_1, *, controlr, 1)," &
	"  22 (BC_1, IO_C21, output3, X, 21, 1, PULL0)," & --  PAD113
	"  23 (BC_1, IO_C21, input, X)," & --  PAD113
	"  24 (BC_1, *, controlr, 1)," &
	"  25 (BC_1, IO_B21, output3, X, 24, 1, PULL0)," & --  PAD112
	"  26 (BC_1, IO_B21, input, X)," & --  PAD112
	"  27 (BC_1, *, controlr, 1)," &
	"  28 (BC_1, IO_A21, output3, X, 27, 1, PULL0)," & --  PAD111
	"  29 (BC_1, IO_A21, input, X)," & --  PAD111
	"  30 (BC_1, *, internal, 1)," & -- PAD110.T
	"  31 (BC_1, *, internal, X)," & -- PAD110.O
	"  32 (BC_1, *, internal, X)," & -- PAD110.I
	"  33 (BC_1, *, controlr, 1)," &
	"  34 (BC_1, IO_E20, output3, X, 33, 1, PULL0)," & --  PAD109
	"  35 (BC_1, IO_E20, input, X)," & --  PAD109
	"  36 (BC_1, *, controlr, 1)," &
	"  37 (BC_1, IO_D20, output3, X, 36, 1, PULL0)," & --  PAD108
	"  38 (BC_1, IO_D20, input, X)," & --  PAD108
	"  39 (BC_1, *, controlr, 1)," &
	"  40 (BC_1, IO_C20, output3, X, 39, 1, PULL0)," & --  PAD107
	"  41 (BC_1, IO_C20, input, X)," & --  PAD107
	"  42 (BC_1, *, controlr, 1)," &
	"  43 (BC_1, IO_B20, output3, X, 42, 1, PULL0)," & --  PAD106
	"  44 (BC_1, IO_B20, input, X)," & --  PAD106
	"  45 (BC_1, *, controlr, 1)," &
	"  46 (BC_1, IO_A20, output3, X, 45, 1, PULL0)," & --  PAD105
	"  47 (BC_1, IO_A20, input, X)," & --  PAD105
	"  48 (BC_1, *, controlr, 1)," &
	"  49 (BC_1, IO_G19, output3, X, 48, 1, PULL0)," & --  PAD104
	"  50 (BC_1, IO_G19, input, X)," & --  PAD104
	"  51 (BC_1, *, controlr, 1)," &
	"  52 (BC_1, IO_F19, output3, X, 51, 1, PULL0)," & --  PAD103
	"  53 (BC_1, IO_F19, input, X)," & --  PAD103
	"  54 (BC_1, *, controlr, 1)," &
	"  55 (BC_1, IO_B19, output3, X, 54, 1, PULL0)," & --  PAD102
	"  56 (BC_1, IO_B19, input, X)," & --  PAD102
	"  57 (BC_1, *, controlr, 1)," &
	"  58 (BC_1, IO_A19, output3, X, 57, 1, PULL0)," & --  PAD101
	"  59 (BC_1, IO_A19, input, X)," & --  PAD101
	"  60 (BC_1, *, controlr, 1)," &
	"  61 (BC_1, IO_H18, output3, X, 60, 1, PULL0)," & --  PAD100
	"  62 (BC_1, IO_H18, input, X)," & --  PAD100
	"  63 (BC_1, *, controlr, 1)," &
	"  64 (BC_1, IO_G18, output3, X, 63, 1, PULL0)," & --  PAD99
	"  65 (BC_1, IO_G18, input, X)," & --  PAD99
	"  66 (BC_1, *, controlr, 1)," &
	"  67 (BC_1, IO_F18, output3, X, 66, 1, PULL0)," & --  PAD98
	"  68 (BC_1, IO_F18, input, X)," & --  PAD98
	"  69 (BC_1, *, controlr, 1)," &
	"  70 (BC_1, IO_C18, output3, X, 69, 1, PULL0)," & --  PAD97
	"  71 (BC_1, IO_C18, input, X)," & --  PAD97
	"  72 (BC_1, *, controlr, 1)," &
	"  73 (BC_1, IO_B18, output3, X, 72, 1, PULL0)," & --  PAD96
	"  74 (BC_1, IO_B18, input, X)," & --  PAD96
	"  75 (BC_1, *, controlr, 1)," &
	"  76 (BC_1, IO_A18, output3, X, 75, 1, PULL0)," & --  PAD95
	"  77 (BC_1, IO_A18, input, X)," & --  PAD95
	"  78 (BC_1, *, controlr, 1)," &
	"  79 (BC_1, IO_H17, output3, X, 78, 1, PULL0)," & --  PAD94
	"  80 (BC_1, IO_H17, input, X)," & --  PAD94
	"  81 (BC_1, *, controlr, 1)," &
	"  82 (BC_1, IO_G17, output3, X, 81, 1, PULL0)," & --  PAD93
	"  83 (BC_1, IO_G17, input, X)," & --  PAD93
	"  84 (BC_1, *, controlr, 1)," &
	"  85 (BC_1, IO_E18, output3, X, 84, 1, PULL0)," & --  PAD92
	"  86 (BC_1, IO_E18, input, X)," & --  PAD92
	"  87 (BC_1, *, controlr, 1)," &
	"  88 (BC_1, IO_E17, output3, X, 87, 1, PULL0)," & --  PAD91
	"  89 (BC_1, IO_E17, input, X)," & --  PAD91
	"  90 (BC_1, *, controlr, 1)," &
	"  91 (BC_1, IO_D17, output3, X, 90, 1, PULL0)," & --  PAD90
	"  92 (BC_1, IO_D17, input, X)," & --  PAD90
	"  93 (BC_1, *, controlr, 1)," &
	"  94 (BC_1, IO_C17, output3, X, 93, 1, PULL0)," & --  PAD89
	"  95 (BC_1, IO_C17, input, X)," & --  PAD89
	"  96 (BC_1, *, internal, 1)," & -- PAD88.T
	"  97 (BC_1, *, internal, X)," & -- PAD88.O
	"  98 (BC_1, *, internal, X)," & -- PAD88.I
	"  99 (BC_1, *, controlr, 1)," &
	" 100 (BC_1, IO_B17, output3, X, 99, 1, PULL0)," & --  PAD87
	" 101 (BC_1, IO_B17, input, X)," & --  PAD87
	" 102 (BC_1, *, controlr, 1)," &
	" 103 (BC_1, IO_A17, output3, X, 102, 1, PULL0)," & --  PAD86
	" 104 (BC_1, IO_A17, input, X)," & --  PAD86
	" 105 (BC_1, *, internal, 1)," & -- PAD85.T
	" 106 (BC_1, *, internal, X)," & -- PAD85.O
	" 107 (BC_1, *, internal, X)," & -- PAD85.I
	" 108 (BC_1, *, controlr, 1)," &
	" 109 (BC_1, IO_F16, output3, X, 108, 1, PULL0)," & --  PAD84
	" 110 (BC_1, IO_F16, input, X)," & --  PAD84
	" 111 (BC_1, *, controlr, 1)," &
	" 112 (BC_1, IO_E16, output3, X, 111, 1, PULL0)," & --  PAD83
	" 113 (BC_1, IO_E16, input, X)," & --  PAD83
	" 114 (BC_1, *, controlr, 1)," &
	" 115 (BC_1, IO_C16, output3, X, 114, 1, PULL0)," & --  PAD82
	" 116 (BC_1, IO_C16, input, X)," & --  PAD82
	" 117 (BC_1, *, controlr, 1)," &
	" 118 (BC_1, IO_B16, output3, X, 117, 1, PULL0)," & --  PAD81
	" 119 (BC_1, IO_B16, input, X)," & --  PAD81
	" 120 (BC_1, *, internal, 1)," & -- PAD80.T
	" 121 (BC_1, *, internal, X)," & -- PAD80.O
	" 122 (BC_1, *, internal, X)," & -- PAD80.I
	" 123 (BC_1, *, controlr, 1)," &
	" 124 (BC_1, IO_J15, output3, X, 123, 1, PULL0)," & --  PAD79
	" 125 (BC_1, IO_J15, input, X)," & --  PAD79
	" 126 (BC_1, *, controlr, 1)," &
	" 127 (BC_1, IO_H15, output3, X, 126, 1, PULL0)," & --  PAD78
	" 128 (BC_1, IO_H15, input, X)," & --  PAD78
	" 129 (BC_1, *, internal, 1)," & -- PAD77.T
	" 130 (BC_1, *, internal, X)," & -- PAD77.O
	" 131 (BC_1, *, internal, X)," & -- PAD77.I
	" 132 (BC_1, *, controlr, 1)," &
	" 133 (BC_1, IO_F15, output3, X, 132, 1, PULL0)," & --  PAD76
	" 134 (BC_1, IO_F15, input, X)," & --  PAD76
	" 135 (BC_1, *, controlr, 1)," &
	" 136 (BC_1, IO_E15, output3, X, 135, 1, PULL0)," & --  PAD75
	" 137 (BC_1, IO_E15, input, X)," & --  PAD75
	" 138 (BC_1, *, controlr, 1)," &
	" 139 (BC_1, IO_B15, output3, X, 138, 1, PULL0)," & --  PAD74
	" 140 (BC_1, IO_B15, input, X)," & --  PAD74
	" 141 (BC_1, *, controlr, 1)," &
	" 142 (BC_1, IO_A15, output3, X, 141, 1, PULL0)," & --  PAD73
	" 143 (BC_1, IO_A15, input, X)," & --  PAD73
	" 144 (BC_1, *, controlr, 1)," &
	" 145 (BC_1, IO_J14, output3, X, 144, 1, PULL0)," & --  PAD72
	" 146 (BC_1, IO_J14, input, X)," & --  PAD72
	" 147 (BC_1, *, controlr, 1)," &
	" 148 (BC_1, IO_H14, output3, X, 147, 1, PULL0)," & --  PAD71
	" 149 (BC_1, IO_H14, input, X)," & --  PAD71
	" 150 (BC_1, *, internal, 1)," & -- PAD70.T
	" 151 (BC_1, *, internal, X)," & -- PAD70.O
	" 152 (BC_1, *, internal, X)," & -- PAD70.I
	" 153 (BC_1, *, controlr, 1)," &
	" 154 (BC_1, IO_F14, output3, X, 153, 1, PULL0)," & --  PAD69
	" 155 (BC_1, IO_F14, input, X)," & --  PAD69
	" 156 (BC_1, *, controlr, 1)," &
	" 157 (BC_1, IO_E14, output3, X, 156, 1, PULL0)," & --  PAD68
	" 158 (BC_1, IO_E14, input, X)," & --  PAD68
	" 159 (BC_1, *, controlr, 1)," &
	" 160 (BC_1, IO_D14, output3, X, 159, 1, PULL0)," & --  PAD67
	" 161 (BC_1, IO_D14, input, X)," & --  PAD67
	" 162 (BC_1, *, controlr, 1)," &
	" 163 (BC_1, IO_C14, output3, X, 162, 1, PULL0)," & --  PAD66
	" 164 (BC_1, IO_C14, input, X)," & --  PAD66
	" 165 (BC_1, *, controlr, 1)," &
	" 166 (BC_1, IO_C13, output3, X, 165, 1, PULL0)," & --  PAD65
	" 167 (BC_1, IO_C13, input, X)," & --  PAD65
	" 168 (BC_1, *, controlr, 1)," &
	" 169 (BC_1, IO_D13, output3, X, 168, 1, PULL0)," & --  PAD64
	" 170 (BC_1, IO_D13, input, X)," & --  PAD64
	" 171 (BC_1, *, internal, 1)," & -- PAD63.T
	" 172 (BC_1, *, internal, X)," & -- PAD63.O
	" 173 (BC_1, *, internal, X)," & -- PAD63.I
	" 174 (BC_1, *, internal, 1)," & -- PAD62.T
	" 175 (BC_1, *, internal, X)," & -- PAD62.O
	" 176 (BC_1, *, internal, X)," & -- PAD62.I
	" 177 (BC_1, *, controlr, 1)," &
	" 178 (BC_1, IO_B14, output3, X, 177, 1, PULL0)," & --  PAD61
	" 179 (BC_1, IO_B14, input, X)," & --  PAD61
	" 180 (BC_1, GCK2_A14, input, X)," &
	" 181 (BC_1, GCK3_A13, input, X)," &
	" 182 (BC_1, *, controlr, 1)," &
	" 183 (BC_1, IO_B13, output3, X, 182, 1, PULL0)," & --  PAD60
	" 184 (BC_1, IO_B13, input, X)," & --  PAD60
	" 185 (BC_1, *, internal, 1)," & -- PAD59.T
	" 186 (BC_1, *, internal, X)," & -- PAD59.O
	" 187 (BC_1, *, internal, X)," & -- PAD59.I
	" 188 (BC_1, *, internal, 1)," & -- PAD58.T
	" 189 (BC_1, *, internal, X)," & -- PAD58.O
	" 190 (BC_1, *, internal, X)," & -- PAD58.I
	" 191 (BC_1, *, internal, 1)," & -- PAD57.T
	" 192 (BC_1, *, internal, X)," & -- PAD57.O
	" 193 (BC_1, *, internal, X)," & -- PAD57.I
	" 194 (BC_1, *, controlr, 1)," &
	" 195 (BC_1, IO_F13, output3, X, 194, 1, PULL0)," & --  PAD56
	" 196 (BC_1, IO_F13, input, X)," & --  PAD56
	" 197 (BC_1, *, controlr, 1)," &
	" 198 (BC_1, IO_G13, output3, X, 197, 1, PULL0)," & --  PAD55
	" 199 (BC_1, IO_G13, input, X)," & --  PAD55
	" 200 (BC_1, *, controlr, 1)," &
	" 201 (BC_1, IO_B12, output3, X, 200, 1, PULL0)," & --  PAD54
	" 202 (BC_1, IO_B12, input, X)," & --  PAD54
	" 203 (BC_1, *, controlr, 1)," &
	" 204 (BC_1, IO_D12, output3, X, 203, 1, PULL0)," & --  PAD53
	" 205 (BC_1, IO_D12, input, X)," & --  PAD53
	" 206 (BC_1, *, controlr, 1)," &
	" 207 (BC_1, IO_E12, output3, X, 206, 1, PULL0)," & --  PAD52
	" 208 (BC_1, IO_E12, input, X)," & --  PAD52
	" 209 (BC_1, *, internal, 1)," & -- PAD51.T
	" 210 (BC_1, *, internal, X)," & -- PAD51.O
	" 211 (BC_1, *, internal, X)," & -- PAD51.I
	" 212 (BC_1, *, controlr, 1)," &
	" 213 (BC_1, IO_G12, output3, X, 212, 1, PULL0)," & --  PAD50
	" 214 (BC_1, IO_G12, input, X)," & --  PAD50
	" 215 (BC_1, *, controlr, 1)," &
	" 216 (BC_1, IO_H12, output3, X, 215, 1, PULL0)," & --  PAD49
	" 217 (BC_1, IO_H12, input, X)," & --  PAD49
	" 218 (BC_1, *, controlr, 1)," &
	" 219 (BC_1, IO_A11, output3, X, 218, 1, PULL0)," & --  PAD48
	" 220 (BC_1, IO_A11, input, X)," & --  PAD48
	" 221 (BC_1, *, controlr, 1)," &
	" 222 (BC_1, IO_B11, output3, X, 221, 1, PULL0)," & --  PAD47
	" 223 (BC_1, IO_B11, input, X)," & --  PAD47
	" 224 (BC_1, *, controlr, 1)," &
	" 225 (BC_1, IO_E11, output3, X, 224, 1, PULL0)," & --  PAD46
	" 226 (BC_1, IO_E11, input, X)," & --  PAD46
	" 227 (BC_1, *, controlr, 1)," &
	" 228 (BC_1, IO_F11, output3, X, 227, 1, PULL0)," & --  PAD45
	" 229 (BC_1, IO_F11, input, X)," & --  PAD45
	" 230 (BC_1, *, internal, 1)," & -- PAD44.T
	" 231 (BC_1, *, internal, X)," & -- PAD44.O
	" 232 (BC_1, *, internal, X)," & -- PAD44.I
	" 233 (BC_1, *, controlr, 1)," &
	" 234 (BC_1, IO_G11, output3, X, 233, 1, PULL0)," & --  PAD43
	" 235 (BC_1, IO_G11, input, X)," & --  PAD43
	" 236 (BC_1, *, controlr, 1)," &
	" 237 (BC_1, IO_H11, output3, X, 236, 1, PULL0)," & --  PAD42
	" 238 (BC_1, IO_H11, input, X)," & --  PAD42
	" 239 (BC_1, *, internal, 1)," & -- PAD41.T
	" 240 (BC_1, *, internal, X)," & -- PAD41.O
	" 241 (BC_1, *, internal, X)," & -- PAD41.I
	" 242 (BC_1, *, controlr, 1)," &
	" 243 (BC_1, IO_A10, output3, X, 242, 1, PULL0)," & --  PAD40
	" 244 (BC_1, IO_A10, input, X)," & --  PAD40
	" 245 (BC_1, *, controlr, 1)," &
	" 246 (BC_1, IO_B10, output3, X, 245, 1, PULL0)," & --  PAD39
	" 247 (BC_1, IO_B10, input, X)," & --  PAD39
	" 248 (BC_1, *, controlr, 1)," &
	" 249 (BC_1, IO_D10, output3, X, 248, 1, PULL0)," & --  PAD38
	" 250 (BC_1, IO_D10, input, X)," & --  PAD38
	" 251 (BC_1, *, controlr, 1)," &
	" 252 (BC_1, IO_E10, output3, X, 251, 1, PULL0)," & --  PAD37
	" 253 (BC_1, IO_E10, input, X)," & --  PAD37
	" 254 (BC_1, *, internal, 1)," & -- PAD36.T
	" 255 (BC_1, *, internal, X)," & -- PAD36.O
	" 256 (BC_1, *, internal, X)," & -- PAD36.I
	" 257 (BC_1, *, controlr, 1)," &
	" 258 (BC_1, IO_A9, output3, X, 257, 1, PULL0)," & --  PAD35
	" 259 (BC_1, IO_A9, input, X)," & --  PAD35
	" 260 (BC_1, *, controlr, 1)," &
	" 261 (BC_1, IO_B9, output3, X, 260, 1, PULL0)," & --  PAD34
	" 262 (BC_1, IO_B9, input, X)," & --  PAD34
	" 263 (BC_1, *, internal, 1)," & -- PAD33.T
	" 264 (BC_1, *, internal, X)," & -- PAD33.O
	" 265 (BC_1, *, internal, X)," & -- PAD33.I
	" 266 (BC_1, *, controlr, 1)," &
	" 267 (BC_1, IO_C9, output3, X, 266, 1, PULL0)," & --  PAD32
	" 268 (BC_1, IO_C9, input, X)," & --  PAD32
	" 269 (BC_1, *, controlr, 1)," &
	" 270 (BC_1, IO_D9, output3, X, 269, 1, PULL0)," & --  PAD31
	" 271 (BC_1, IO_D9, input, X)," & --  PAD31
	" 272 (BC_1, *, controlr, 1)," &
	" 273 (BC_1, IO_E9, output3, X, 272, 1, PULL0)," & --  PAD30
	" 274 (BC_1, IO_E9, input, X)," & --  PAD30
	" 275 (BC_1, *, controlr, 1)," &
	" 276 (BC_1, IO_F9, output3, X, 275, 1, PULL0)," & --  PAD29
	" 277 (BC_1, IO_F9, input, X)," & --  PAD29
	" 278 (BC_1, *, controlr, 1)," &
	" 279 (BC_1, IO_G9, output3, X, 278, 1, PULL0)," & --  PAD28
	" 280 (BC_1, IO_G9, input, X)," & --  PAD28
	" 281 (BC_1, *, controlr, 1)," &
	" 282 (BC_1, IO_A8, output3, X, 281, 1, PULL0)," & --  PAD27
	" 283 (BC_1, IO_A8, input, X)," & --  PAD27
	" 284 (BC_1, *, controlr, 1)," &
	" 285 (BC_1, IO_B8, output3, X, 284, 1, PULL0)," & --  PAD26
	" 286 (BC_1, IO_B8, input, X)," & --  PAD26
	" 287 (BC_1, *, controlr, 1)," &
	" 288 (BC_1, IO_H9, output3, X, 287, 1, PULL0)," & --  PAD25
	" 289 (BC_1, IO_H9, input, X)," & --  PAD25
	" 290 (BC_1, *, controlr, 1)," &
	" 291 (BC_1, IO_E8, output3, X, 290, 1, PULL0)," & --  PAD24
	" 292 (BC_1, IO_E8, input, X)," & --  PAD24
	" 293 (BC_1, *, controlr, 1)," &
	" 294 (BC_1, IO_A7, output3, X, 293, 1, PULL0)," & --  PAD23
	" 295 (BC_1, IO_A7, input, X)," & --  PAD23
	" 296 (BC_1, *, controlr, 1)," &
	" 297 (BC_1, IO_B7, output3, X, 296, 1, PULL0)," & --  PAD22
	" 298 (BC_1, IO_B7, input, X)," & --  PAD22
	" 299 (BC_1, *, controlr, 1)," &
	" 300 (BC_1, IO_G8, output3, X, 299, 1, PULL0)," & --  PAD21
	" 301 (BC_1, IO_G8, input, X)," & --  PAD21
	" 302 (BC_1, *, controlr, 1)," &
	" 303 (BC_1, IO_C7, output3, X, 302, 1, PULL0)," & --  PAD20
	" 304 (BC_1, IO_C7, input, X)," & --  PAD20
	" 305 (BC_1, *, controlr, 1)," &
	" 306 (BC_1, IO_D7, output3, X, 305, 1, PULL0)," & --  PAD19
	" 307 (BC_1, IO_D7, input, X)," & --  PAD19
	" 308 (BC_1, *, controlr, 1)," &
	" 309 (BC_1, IO_F7, output3, X, 308, 1, PULL0)," & --  PAD18
	" 310 (BC_1, IO_F7, input, X)," & --  PAD18
	" 311 (BC_1, *, controlr, 1)," &
	" 312 (BC_1, IO_G7, output3, X, 311, 1, PULL0)," & --  PAD17
	" 313 (BC_1, IO_G7, input, X)," & --  PAD17
	" 314 (BC_1, *, controlr, 1)," &
	" 315 (BC_1, IO_A6, output3, X, 314, 1, PULL0)," & --  PAD16
	" 316 (BC_1, IO_A6, input, X)," & --  PAD16
	" 317 (BC_1, *, controlr, 1)," &
	" 318 (BC_1, IO_B6, output3, X, 317, 1, PULL0)," & --  PAD15
	" 319 (BC_1, IO_B6, input, X)," & --  PAD15
	" 320 (BC_1, *, controlr, 1)," &
	" 321 (BC_1, IO_C6, output3, X, 320, 1, PULL0)," & --  PAD14
	" 322 (BC_1, IO_C6, input, X)," & --  PAD14
	" 323 (BC_1, *, controlr, 1)," &
	" 324 (BC_1, IO_D6, output3, X, 323, 1, PULL0)," & --  PAD13
	" 325 (BC_1, IO_D6, input, X)," & --  PAD13
	" 326 (BC_1, *, controlr, 1)," &
	" 327 (BC_1, IO_E6, output3, X, 326, 1, PULL0)," & --  PAD12
	" 328 (BC_1, IO_E6, input, X)," & --  PAD12
	" 329 (BC_1, *, internal, 1)," & -- PAD11.T
	" 330 (BC_1, *, internal, X)," & -- PAD11.O
	" 331 (BC_1, *, internal, X)," & -- PAD11.I
	" 332 (BC_1, *, controlr, 1)," &
	" 333 (BC_1, IO_A5, output3, X, 332, 1, PULL0)," & --  PAD10
	" 334 (BC_1, IO_A5, input, X)," & --  PAD10
	" 335 (BC_1, *, controlr, 1)," &
	" 336 (BC_1, IO_B5, output3, X, 335, 1, PULL0)," & --  PAD9
	" 337 (BC_1, IO_B5, input, X)," & --  PAD9
	" 338 (BC_1, *, controlr, 1)," &
	" 339 (BC_1, IO_D5, output3, X, 338, 1, PULL0)," & --  PAD8
	" 340 (BC_1, IO_D5, input, X)," & --  PAD8
	" 341 (BC_1, *, controlr, 1)," &
	" 342 (BC_1, IO_E5, output3, X, 341, 1, PULL0)," & --  PAD7
	" 343 (BC_1, IO_E5, input, X)," & --  PAD7
	" 344 (BC_1, *, controlr, 1)," &
	" 345 (BC_1, IO_B4, output3, X, 344, 1, PULL0)," & --  PAD6
	" 346 (BC_1, IO_B4, input, X)," & --  PAD6
	" 347 (BC_1, *, controlr, 1)," &
	" 348 (BC_1, IO_C4, output3, X, 347, 1, PULL0)," & --  PAD5
	" 349 (BC_1, IO_C4, input, X)," & --  PAD5
	" 350 (BC_1, *, controlr, 1)," &
	" 351 (BC_1, IO_A3, output3, X, 350, 1, PULL0)," & --  PAD4
	" 352 (BC_1, IO_A3, input, X)," & --  PAD4
	" 353 (BC_1, *, internal, 1)," & -- PAD3.T
	" 354 (BC_1, *, internal, X)," & -- PAD3.O
	" 355 (BC_1, *, internal, X)," & -- PAD3.I
	" 356 (BC_1, *, controlr, 1)," &
	" 357 (BC_1, IO_A4, output3, X, 356, 1, PULL0)," & --  PAD2
	" 358 (BC_1, IO_A4, input, X)," & --  PAD2
	" 359 (BC_1, *, internal, 1)," & -- PAD1.T
	" 360 (BC_1, *, internal, X)," & -- PAD1.O
	" 361 (BC_1, *, internal, X)," & -- PAD1.I
	" 362 (BC_1, *, internal, 1)," & -- PAD480.T
	" 363 (BC_1, *, internal, X)," & -- PAD480.O
	" 364 (BC_1, *, internal, X)," & -- PAD480.I
	" 365 (BC_1, *, controlr, 1)," &
	" 366 (BC_1, IO_D3, output3, X, 365, 1, PULL0)," & --  PAD479
	" 367 (BC_1, IO_D3, input, X)," & --  PAD479
	" 368 (BC_1, *, internal, 1)," & -- PAD478.T
	" 369 (BC_1, *, internal, X)," & -- PAD478.O
	" 370 (BC_1, *, internal, X)," & -- PAD478.I
	" 371 (BC_1, *, controlr, 1)," &
	" 372 (BC_1, IO_D1, output3, X, 371, 1, PULL0)," & --  PAD477
	" 373 (BC_1, IO_D1, input, X)," & --  PAD477
	" 374 (BC_1, *, controlr, 1)," &
	" 375 (BC_1, IO_E2, output3, X, 374, 1, PULL0)," & --  PAD476
	" 376 (BC_1, IO_E2, input, X)," & --  PAD476
	" 377 (BC_1, *, controlr, 1)," &
	" 378 (BC_1, IO_E1, output3, X, 377, 1, PULL0)," & --  PAD475
	" 379 (BC_1, IO_E1, input, X)," & --  PAD475
	" 380 (BC_1, *, controlr, 1)," &
	" 381 (BC_1, IO_E4, output3, X, 380, 1, PULL0)," & --  PAD474
	" 382 (BC_1, IO_E4, input, X)," & --  PAD474
	" 383 (BC_1, *, controlr, 1)," &
	" 384 (BC_1, IO_F5, output3, X, 383, 1, PULL0)," & --  PAD473
	" 385 (BC_1, IO_F5, input, X)," & --  PAD473
	" 386 (BC_1, *, controlr, 1)," &
	" 387 (BC_1, IO_F4, output3, X, 386, 1, PULL0)," & --  PAD472
	" 388 (BC_1, IO_F4, input, X)," & --  PAD472
	" 389 (BC_1, *, controlr, 1)," &
	" 390 (BC_1, IO_F3, output3, X, 389, 1, PULL0)," & --  PAD471
	" 391 (BC_1, IO_F3, input, X)," & --  PAD471
	" 392 (BC_1, *, internal, 1)," & -- PAD470.T
	" 393 (BC_1, *, internal, X)," & -- PAD470.O
	" 394 (BC_1, *, internal, X)," & -- PAD470.I
	" 395 (BC_1, *, controlr, 1)," &
	" 396 (BC_1, IO_F1, output3, X, 395, 1, PULL0)," & --  PAD469
	" 397 (BC_1, IO_F1, input, X)," & --  PAD469
	" 398 (BC_1, *, controlr, 1)," &
	" 399 (BC_1, IO_G6, output3, X, 398, 1, PULL0)," & --  PAD468
	" 400 (BC_1, IO_G6, input, X)," & --  PAD468
	" 401 (BC_1, *, controlr, 1)," &
	" 402 (BC_1, IO_G5, output3, X, 401, 1, PULL0)," & --  PAD467
	" 403 (BC_1, IO_G5, input, X)," & --  PAD467
	" 404 (BC_1, *, controlr, 1)," &
	" 405 (BC_1, IO_G4, output3, X, 404, 1, PULL0)," & --  PAD466
	" 406 (BC_1, IO_G4, input, X)," & --  PAD466
	" 407 (BC_1, *, controlr, 1)," &
	" 408 (BC_1, IO_G3, output3, X, 407, 1, PULL0)," & --  PAD465
	" 409 (BC_1, IO_G3, input, X)," & --  PAD465
	" 410 (BC_1, *, controlr, 1)," &
	" 411 (BC_1, IO_G2, output3, X, 410, 1, PULL0)," & --  PAD464
	" 412 (BC_1, IO_G2, input, X)," & --  PAD464
	" 413 (BC_1, *, controlr, 1)," &
	" 414 (BC_1, IO_G1, output3, X, 413, 1, PULL0)," & --  PAD463
	" 415 (BC_1, IO_G1, input, X)," & --  PAD463
	" 416 (BC_1, *, controlr, 1)," &
	" 417 (BC_1, IO_H7, output3, X, 416, 1, PULL0)," & --  PAD462
	" 418 (BC_1, IO_H7, input, X)," & --  PAD462
	" 419 (BC_1, *, controlr, 1)," &
	" 420 (BC_1, IO_H6, output3, X, 419, 1, PULL0)," & --  PAD461
	" 421 (BC_1, IO_H6, input, X)," & --  PAD461
	" 422 (BC_1, *, controlr, 1)," &
	" 423 (BC_1, IO_H5, output3, X, 422, 1, PULL0)," & --  PAD460
	" 424 (BC_1, IO_H5, input, X)," & --  PAD460
	" 425 (BC_1, *, controlr, 1)," &
	" 426 (BC_1, IO_H2, output3, X, 425, 1, PULL0)," & --  PAD459
	" 427 (BC_1, IO_H2, input, X)," & --  PAD459
	" 428 (BC_1, *, controlr, 1)," &
	" 429 (BC_1, IO_H1, output3, X, 428, 1, PULL0)," & --  PAD458
	" 430 (BC_1, IO_H1, input, X)," & --  PAD458
	" 431 (BC_1, *, controlr, 1)," &
	" 432 (BC_1, IO_J7, output3, X, 431, 1, PULL0)," & --  PAD457
	" 433 (BC_1, IO_J7, input, X)," & --  PAD457
	" 434 (BC_1, *, controlr, 1)," &
	" 435 (BC_1, IO_J5, output3, X, 434, 1, PULL0)," & --  PAD456
	" 436 (BC_1, IO_J5, input, X)," & --  PAD456
	" 437 (BC_1, *, controlr, 1)," &
	" 438 (BC_1, IO_J4, output3, X, 437, 1, PULL0)," & --  PAD455
	" 439 (BC_1, IO_J4, input, X)," & --  PAD455
	" 440 (BC_1, *, controlr, 1)," &
	" 441 (BC_1, IO_J3, output3, X, 440, 1, PULL0)," & --  PAD454
	" 442 (BC_1, IO_J3, input, X)," & --  PAD454
	" 443 (BC_1, *, controlr, 1)," &
	" 444 (BC_1, IO_K5, output3, X, 443, 1, PULL0)," & --  PAD453
	" 445 (BC_1, IO_K5, input, X)," & --  PAD453
	" 446 (BC_1, *, controlr, 1)," &
	" 447 (BC_1, IO_J2, output3, X, 446, 1, PULL0)," & --  PAD452
	" 448 (BC_1, IO_J2, input, X)," & --  PAD452
	" 449 (BC_1, *, controlr, 1)," &
	" 450 (BC_1, IO_J1, output3, X, 449, 1, PULL0)," & --  PAD451
	" 451 (BC_1, IO_J1, input, X)," & --  PAD451
	" 452 (BC_1, *, controlr, 1)," &
	" 453 (BC_1, IO_K8, output3, X, 452, 1, PULL0)," & --  PAD450
	" 454 (BC_1, IO_K8, input, X)," & --  PAD450
	" 455 (BC_1, *, controlr, 1)," &
	" 456 (BC_1, IO_K7, output3, X, 455, 1, PULL0)," & --  PAD449
	" 457 (BC_1, IO_K7, input, X)," & --  PAD449
	" 458 (BC_1, *, internal, 1)," & -- PAD448.T
	" 459 (BC_1, *, internal, X)," & -- PAD448.O
	" 460 (BC_1, *, internal, X)," & -- PAD448.I
	" 461 (BC_1, *, controlr, 1)," &
	" 462 (BC_1, IO_K3, output3, X, 461, 1, PULL0)," & --  PAD447
	" 463 (BC_1, IO_K3, input, X)," & --  PAD447
	" 464 (BC_1, *, controlr, 1)," &
	" 465 (BC_1, IO_K2, output3, X, 464, 1, PULL0)," & --  PAD446
	" 466 (BC_1, IO_K2, input, X)," & --  PAD446
	" 467 (BC_1, *, internal, 1)," & -- PAD445.T
	" 468 (BC_1, *, internal, X)," & -- PAD445.O
	" 469 (BC_1, *, internal, X)," & -- PAD445.I
	" 470 (BC_1, *, controlr, 1)," &
	" 471 (BC_1, IO_L8, output3, X, 470, 1, PULL0)," & --  PAD444
	" 472 (BC_1, IO_L8, input, X)," & --  PAD444
	" 473 (BC_1, *, controlr, 1)," &
	" 474 (BC_1, IO_L7, output3, X, 473, 1, PULL0)," & --  PAD443
	" 475 (BC_1, IO_L7, input, X)," & --  PAD443
	" 476 (BC_1, *, controlr, 1)," &
	" 477 (BC_1, IO_L6, output3, X, 476, 1, PULL0)," & --  PAD442
	" 478 (BC_1, IO_L6, input, X)," & --  PAD442
	" 479 (BC_1, *, controlr, 1)," &
	" 480 (BC_1, IO_L5, output3, X, 479, 1, PULL0)," & --  PAD441
	" 481 (BC_1, IO_L5, input, X)," & --  PAD441
	" 482 (BC_1, *, internal, 1)," & -- PAD440.T
	" 483 (BC_1, *, internal, X)," & -- PAD440.O
	" 484 (BC_1, *, internal, X)," & -- PAD440.I
	" 485 (BC_1, *, controlr, 1)," &
	" 486 (BC_1, IO_L2, output3, X, 485, 1, PULL0)," & --  PAD439
	" 487 (BC_1, IO_L2, input, X)," & --  PAD439
	" 488 (BC_1, *, controlr, 1)," &
	" 489 (BC_1, IO_L1, output3, X, 488, 1, PULL0)," & --  PAD438
	" 490 (BC_1, IO_L1, input, X)," & --  PAD438
	" 491 (BC_1, *, internal, 1)," & -- PAD437.T
	" 492 (BC_1, *, internal, X)," & -- PAD437.O
	" 493 (BC_1, *, internal, X)," & -- PAD437.I
	" 494 (BC_1, *, controlr, 1)," &
	" 495 (BC_1, IO_M8, output3, X, 494, 1, PULL0)," & --  PAD436
	" 496 (BC_1, IO_M8, input, X)," & --  PAD436
	" 497 (BC_1, *, controlr, 1)," &
	" 498 (BC_1, IO_M7, output3, X, 497, 1, PULL0)," & --  PAD435
	" 499 (BC_1, IO_M7, input, X)," & --  PAD435
	" 500 (BC_1, *, controlr, 1)," &
	" 501 (BC_1, IO_M6, output3, X, 500, 1, PULL0)," & --  PAD434
	" 502 (BC_1, IO_M6, input, X)," & --  PAD434
	" 503 (BC_1, *, controlr, 1)," &
	" 504 (BC_1, IO_M5, output3, X, 503, 1, PULL0)," & --  PAD433
	" 505 (BC_1, IO_M5, input, X)," & --  PAD433
	" 506 (BC_1, *, controlr, 1)," &
	" 507 (BC_1, IO_M2, output3, X, 506, 1, PULL0)," & --  PAD432
	" 508 (BC_1, IO_M2, input, X)," & --  PAD432
	" 509 (BC_1, *, controlr, 1)," &
	" 510 (BC_1, IO_M1, output3, X, 509, 1, PULL0)," & --  PAD431
	" 511 (BC_1, IO_M1, input, X)," & --  PAD431
	" 512 (BC_1, *, internal, 1)," & -- PAD430.T
	" 513 (BC_1, *, internal, X)," & -- PAD430.O
	" 514 (BC_1, *, internal, X)," & -- PAD430.I
	" 515 (BC_1, *, controlr, 1)," &
	" 516 (BC_1, IO_N8, output3, X, 515, 1, PULL0)," & --  PAD429
	" 517 (BC_1, IO_N8, input, X)," & --  PAD429
	" 518 (BC_1, *, controlr, 1)," &
	" 519 (BC_1, IO_N7, output3, X, 518, 1, PULL0)," & --  PAD428
	" 520 (BC_1, IO_N7, input, X)," & --  PAD428
	" 521 (BC_1, *, controlr, 1)," &
	" 522 (BC_1, IO_N6, output3, X, 521, 1, PULL0)," & --  PAD427
	" 523 (BC_1, IO_N6, input, X)," & --  PAD427
	" 524 (BC_1, *, controlr, 1)," &
	" 525 (BC_1, IO_N5, output3, X, 524, 1, PULL0)," & --  PAD426
	" 526 (BC_1, IO_N5, input, X)," & --  PAD426
	" 527 (BC_1, *, controlr, 1)," &
	" 528 (BC_1, IO_N3, output3, X, 527, 1, PULL0)," & --  PAD425
	" 529 (BC_1, IO_N3, input, X)," & --  PAD425
	" 530 (BC_1, *, controlr, 1)," &
	" 531 (BC_1, IO_N2, output3, X, 530, 1, PULL0)," & --  PAD424
	" 532 (BC_1, IO_N2, input, X)," & --  PAD424
	" 533 (BC_1, *, internal, 1)," & -- PAD423.T
	" 534 (BC_1, *, internal, X)," & -- PAD423.O
	" 535 (BC_1, *, internal, X)," & -- PAD423.I
	" 536 (BC_1, *, controlr, 1)," &
	" 537 (BC_1, IO_P1, output3, X, 536, 1, PULL0)," & --  PAD422
	" 538 (BC_1, IO_P1, input, X)," & --  PAD422
	" 539 (BC_1, *, controlr, 1)," &
	" 540 (BC_1, IO_P2, output3, X, 539, 1, PULL0)," & --  PAD421
	" 541 (BC_1, IO_P2, input, X)," & --  PAD421
	" 542 (BC_1, *, controlr, 1)," &
	" 543 (BC_1, IO_P3, output3, X, 542, 1, PULL0)," & --  PAD420
	" 544 (BC_1, IO_P3, input, X)," & --  PAD420
	" 545 (BC_1, *, internal, 1)," & -- PAD419.T
	" 546 (BC_1, *, internal, X)," & -- PAD419.O
	" 547 (BC_1, *, internal, X)," & -- PAD419.I
	" 548 (BC_1, *, internal, 1)," & -- PAD418.T
	" 549 (BC_1, *, internal, X)," & -- PAD418.O
	" 550 (BC_1, *, internal, X)," & -- PAD418.I
	" 551 (BC_1, *, controlr, 1)," &
	" 552 (BC_1, IO_P6, output3, X, 551, 1, PULL0)," & --  PAD417
	" 553 (BC_1, IO_P6, input, X)," & --  PAD417
	" 554 (BC_1, *, controlr, 1)," &
	" 555 (BC_1, IO_P7, output3, X, 554, 1, PULL0)," & --  PAD416
	" 556 (BC_1, IO_P7, input, X)," & --  PAD416
	" 557 (BC_1, *, controlr, 1)," &
	" 558 (BC_1, IO_P8, output3, X, 557, 1, PULL0)," & --  PAD415
	" 559 (BC_1, IO_P8, input, X)," & --  PAD415
	" 560 (BC_1, *, controlr, 1)," &
	" 561 (BC_1, IO_P9, output3, X, 560, 1, PULL0)," & --  PAD414
	" 562 (BC_1, IO_P9, input, X)," & --  PAD414
	" 563 (BC_1, *, controlr, 1)," &
	" 564 (BC_1, IO_R1, output3, X, 563, 1, PULL0)," & --  PAD413
	" 565 (BC_1, IO_R1, input, X)," & --  PAD413
	" 566 (BC_1, *, controlr, 1)," &
	" 567 (BC_1, IO_R2, output3, X, 566, 1, PULL0)," & --  PAD412
	" 568 (BC_1, IO_R2, input, X)," & --  PAD412
	" 569 (BC_1, *, internal, 1)," & -- PAD411.T
	" 570 (BC_1, *, internal, X)," & -- PAD411.O
	" 571 (BC_1, *, internal, X)," & -- PAD411.I
	" 572 (BC_1, *, controlr, 1)," &
	" 573 (BC_1, IO_R5, output3, X, 572, 1, PULL0)," & --  PAD410
	" 574 (BC_1, IO_R5, input, X)," & --  PAD410
	" 575 (BC_1, *, controlr, 1)," &
	" 576 (BC_1, IO_R6, output3, X, 575, 1, PULL0)," & --  PAD409
	" 577 (BC_1, IO_R6, input, X)," & --  PAD409
	" 578 (BC_1, *, controlr, 1)," &
	" 579 (BC_1, IO_R8, output3, X, 578, 1, PULL0)," & --  PAD408
	" 580 (BC_1, IO_R8, input, X)," & --  PAD408
	" 581 (BC_1, *, controlr, 1)," &
	" 582 (BC_1, IO_R9, output3, X, 581, 1, PULL0)," & --  PAD407
	" 583 (BC_1, IO_R9, input, X)," & --  PAD407
	" 584 (BC_1, *, controlr, 1)," &
	" 585 (BC_1, IO_T1, output3, X, 584, 1, PULL0)," & --  PAD406
	" 586 (BC_1, IO_T1, input, X)," & --  PAD406
	" 587 (BC_1, *, controlr, 1)," &
	" 588 (BC_1, IO_T2, output3, X, 587, 1, PULL0)," & --  PAD405
	" 589 (BC_1, IO_T2, input, X)," & --  PAD405
	" 590 (BC_1, *, internal, 1)," & -- PAD404.T
	" 591 (BC_1, *, internal, X)," & -- PAD404.O
	" 592 (BC_1, *, internal, X)," & -- PAD404.I
	" 593 (BC_1, *, controlr, 1)," &
	" 594 (BC_1, IO_T5, output3, X, 593, 1, PULL0)," & --  PAD403
	" 595 (BC_1, IO_T5, input, X)," & --  PAD403
	" 596 (BC_1, *, controlr, 1)," &
	" 597 (BC_1, IO_T6, output3, X, 596, 1, PULL0)," & --  PAD402
	" 598 (BC_1, IO_T6, input, X)," & --  PAD402
	" 599 (BC_1, *, internal, 1)," & -- PAD401.T
	" 600 (BC_1, *, internal, X)," & -- PAD401.O
	" 601 (BC_1, *, internal, X)," & -- PAD401.I
	" 602 (BC_1, *, controlr, 1)," &
	" 603 (BC_1, IO_T7, output3, X, 602, 1, PULL0)," & --  PAD400
	" 604 (BC_1, IO_T7, input, X)," & --  PAD400
	" 605 (BC_1, *, controlr, 1)," &
	" 606 (BC_1, IO_T8, output3, X, 605, 1, PULL0)," & --  PAD399
	" 607 (BC_1, IO_T8, input, X)," & --  PAD399
	" 608 (BC_1, *, controlr, 1)," &
	" 609 (BC_1, IO_U2, output3, X, 608, 1, PULL0)," & --  PAD398
	" 610 (BC_1, IO_U2, input, X)," & --  PAD398
	" 611 (BC_1, *, controlr, 1)," &
	" 612 (BC_1, IO_U3, output3, X, 611, 1, PULL0)," & --  PAD397
	" 613 (BC_1, IO_U3, input, X)," & --  PAD397
	" 614 (BC_1, *, internal, 1)," & -- PAD396.T
	" 615 (BC_1, *, internal, X)," & -- PAD396.O
	" 616 (BC_1, *, internal, X)," & -- PAD396.I
	" 617 (BC_1, *, controlr, 1)," &
	" 618 (BC_1, IO_U5, output3, X, 617, 1, PULL0)," & --  PAD395
	" 619 (BC_1, IO_U5, input, X)," & --  PAD395
	" 620 (BC_1, *, controlr, 1)," &
	" 621 (BC_1, IO_U8, output3, X, 620, 1, PULL0)," & --  PAD394
	" 622 (BC_1, IO_U8, input, X)," & --  PAD394
	" 623 (BC_1, *, internal, 1)," & -- PAD393.T
	" 624 (BC_1, *, internal, X)," & -- PAD393.O
	" 625 (BC_1, *, internal, X)," & -- PAD393.I
	" 626 (BC_1, *, controlr, 1)," &
	" 627 (BC_1, IO_V1, output3, X, 626, 1, PULL0)," & --  PAD392
	" 628 (BC_1, IO_V1, input, X)," & --  PAD392
	" 629 (BC_1, *, controlr, 1)," &
	" 630 (BC_1, IO_V2, output3, X, 629, 1, PULL0)," & --  PAD391
	" 631 (BC_1, IO_V2, input, X)," & --  PAD391
	" 632 (BC_1, *, controlr, 1)," &
	" 633 (BC_1, IO_V3, output3, X, 632, 1, PULL0)," & --  PAD390
	" 634 (BC_1, IO_V3, input, X)," & --  PAD390
	" 635 (BC_1, *, controlr, 1)," &
	" 636 (BC_1, IO_V4, output3, X, 635, 1, PULL0)," & --  PAD389
	" 637 (BC_1, IO_V4, input, X)," & --  PAD389
	" 638 (BC_1, *, controlr, 1)," &
	" 639 (BC_1, IO_V5, output3, X, 638, 1, PULL0)," & --  PAD388
	" 640 (BC_1, IO_V5, input, X)," & --  PAD388
	" 641 (BC_1, *, controlr, 1)," &
	" 642 (BC_1, IO_V6, output3, X, 641, 1, PULL0)," & --  PAD387
	" 643 (BC_1, IO_V6, input, X)," & --  PAD387
	" 644 (BC_1, *, controlr, 1)," &
	" 645 (BC_1, IO_V7, output3, X, 644, 1, PULL0)," & --  PAD386
	" 646 (BC_1, IO_V7, input, X)," & --  PAD386
	" 647 (BC_1, *, controlr, 1)," &
	" 648 (BC_1, IO_W1, output3, X, 647, 1, PULL0)," & --  PAD385
	" 649 (BC_1, IO_W1, input, X)," & --  PAD385
	" 650 (BC_1, *, controlr, 1)," &
	" 651 (BC_1, IO_W2, output3, X, 650, 1, PULL0)," & --  PAD384
	" 652 (BC_1, IO_W2, input, X)," & --  PAD384
	" 653 (BC_1, *, controlr, 1)," &
	" 654 (BC_1, IO_W5, output3, X, 653, 1, PULL0)," & --  PAD383
	" 655 (BC_1, IO_W5, input, X)," & --  PAD383
	" 656 (BC_1, *, controlr, 1)," &
	" 657 (BC_1, IO_W6, output3, X, 656, 1, PULL0)," & --  PAD382
	" 658 (BC_1, IO_W6, input, X)," & --  PAD382
	" 659 (BC_1, *, controlr, 1)," &
	" 660 (BC_1, IO_W7, output3, X, 659, 1, PULL0)," & --  PAD381
	" 661 (BC_1, IO_W7, input, X)," & --  PAD381
	" 662 (BC_1, *, controlr, 1)," &
	" 663 (BC_1, IO_Y1, output3, X, 662, 1, PULL0)," & --  PAD380
	" 664 (BC_1, IO_Y1, input, X)," & --  PAD380
	" 665 (BC_1, *, controlr, 1)," &
	" 666 (BC_1, IO_Y2, output3, X, 665, 1, PULL0)," & --  PAD379
	" 667 (BC_1, IO_Y2, input, X)," & --  PAD379
	" 668 (BC_1, *, controlr, 1)," &
	" 669 (BC_1, IO_Y4, output3, X, 668, 1, PULL0)," & --  PAD378
	" 670 (BC_1, IO_Y4, input, X)," & --  PAD378
	" 671 (BC_1, *, controlr, 1)," &
	" 672 (BC_1, IO_Y5, output3, X, 671, 1, PULL0)," & --  PAD377
	" 673 (BC_1, IO_Y5, input, X)," & --  PAD377
	" 674 (BC_1, *, controlr, 1)," &
	" 675 (BC_1, IO_Y6, output3, X, 674, 1, PULL0)," & --  PAD376
	" 676 (BC_1, IO_Y6, input, X)," & --  PAD376
	" 677 (BC_1, *, controlr, 1)," &
	" 678 (BC_1, IO_AA1, output3, X, 677, 1, PULL0)," & --  PAD375
	" 679 (BC_1, IO_AA1, input, X)," & --  PAD375
	" 680 (BC_1, *, controlr, 1)," &
	" 681 (BC_1, IO_AA2, output3, X, 680, 1, PULL0)," & --  PAD374
	" 682 (BC_1, IO_AA2, input, X)," & --  PAD374
	" 683 (BC_1, *, controlr, 1)," &
	" 684 (BC_1, IO_AA3, output3, X, 683, 1, PULL0)," & --  PAD373
	" 685 (BC_1, IO_AA3, input, X)," & --  PAD373
	" 686 (BC_1, *, controlr, 1)," &
	" 687 (BC_1, IO_AA4, output3, X, 686, 1, PULL0)," & --  PAD372
	" 688 (BC_1, IO_AA4, input, X)," & --  PAD372
	" 689 (BC_1, *, internal, 1)," & -- PAD371.T
	" 690 (BC_1, *, internal, X)," & -- PAD371.O
	" 691 (BC_1, *, internal, X)," & -- PAD371.I
	" 692 (BC_1, *, controlr, 1)," &
	" 693 (BC_1, IO_AA5, output3, X, 692, 1, PULL0)," & --  PAD370
	" 694 (BC_1, IO_AA5, input, X)," & --  PAD370
	" 695 (BC_1, *, controlr, 1)," &
	" 696 (BC_1, IO_AB5, output3, X, 695, 1, PULL0)," & --  PAD369
	" 697 (BC_1, IO_AB5, input, X)," & --  PAD369
	" 698 (BC_1, *, controlr, 1)," &
	" 699 (BC_1, IO_AB1, output3, X, 698, 1, PULL0)," & --  PAD368
	" 700 (BC_1, IO_AB1, input, X)," & --  PAD368
	" 701 (BC_1, *, controlr, 1)," &
	" 702 (BC_1, IO_AB2, output3, X, 701, 1, PULL0)," & --  PAD367
	" 703 (BC_1, IO_AB2, input, X)," & --  PAD367
	" 704 (BC_1, *, controlr, 1)," &
	" 705 (BC_1, IO_AC2, output3, X, 704, 1, PULL0)," & --  PAD366
	" 706 (BC_1, IO_AC2, input, X)," & --  PAD366
	" 707 (BC_1, *, controlr, 1)," &
	" 708 (BC_1, IO_AC3, output3, X, 707, 1, PULL0)," & --  PAD365
	" 709 (BC_1, IO_AC3, input, X)," & --  PAD365
	" 710 (BC_1, *, controlr, 1)," &
	" 711 (BC_1, IO_AB4, output3, X, 710, 1, PULL0)," & --  PAD364
	" 712 (BC_1, IO_AB4, input, X)," & --  PAD364
	" 713 (BC_1, *, internal, 1)," & -- PAD363.T
	" 714 (BC_1, *, internal, X)," & -- PAD363.O
	" 715 (BC_1, *, internal, X)," & -- PAD363.I
	" 716 (BC_1, *, controlr, 1)," &
	" 717 (BC_1, IO_AE1, output3, X, 716, 1, PULL0)," & --  PAD362
	" 718 (BC_1, IO_AE1, input, X)," & --  PAD362
	" 719 (BC_1, *, controlr, 1)," &
	" 720 (BC_1, IO_AF2, output3, X, 719, 1, PULL0)," & --  PAD361
	" 721 (BC_1, IO_AF2, input, X)," & --  PAD361
	" 722 (BC_1, M1_AE3, input, X)," &
	" 723 (BC_1, M0_AF3, input, X)," &
	" 724 (BC_1, M2_AD4, input, X)," &
	" 725 (BC_1, *, controlr, 1)," &
	" 726 (BC_1, IO_AC5, output3, X, 725, 1, PULL0)," & --  PAD360
	" 727 (BC_1, IO_AC5, input, X)," & --  PAD360
	" 728 (BC_1, *, controlr, 1)," &
	" 729 (BC_1, IO_AE4, output3, X, 728, 1, PULL0)," & --  PAD359
	" 730 (BC_1, IO_AE4, input, X)," & --  PAD359
	" 731 (BC_1, *, internal, 1)," & -- PAD358.T
	" 732 (BC_1, *, internal, X)," & -- PAD358.O
	" 733 (BC_1, *, internal, X)," & -- PAD358.I
	" 734 (BC_1, *, controlr, 1)," &
	" 735 (BC_1, IO_AF5, output3, X, 734, 1, PULL0)," & --  PAD357
	" 736 (BC_1, IO_AF5, input, X)," & --  PAD357
	" 737 (BC_1, *, controlr, 1)," &
	" 738 (BC_1, IO_AA6, output3, X, 737, 1, PULL0)," & --  PAD356
	" 739 (BC_1, IO_AA6, input, X)," & --  PAD356
	" 740 (BC_1, *, controlr, 1)," &
	" 741 (BC_1, IO_AB6, output3, X, 740, 1, PULL0)," & --  PAD355
	" 742 (BC_1, IO_AB6, input, X)," & --  PAD355
	" 743 (BC_1, *, controlr, 1)," &
	" 744 (BC_1, IO_AC6, output3, X, 743, 1, PULL0)," & --  PAD354
	" 745 (BC_1, IO_AC6, input, X)," & --  PAD354
	" 746 (BC_1, *, controlr, 1)," &
	" 747 (BC_1, IO_AD6, output3, X, 746, 1, PULL0)," & --  PAD353
	" 748 (BC_1, IO_AD6, input, X)," & --  PAD353
	" 749 (BC_1, *, controlr, 1)," &
	" 750 (BC_1, IO_AE6, output3, X, 749, 1, PULL0)," & --  PAD352
	" 751 (BC_1, IO_AE6, input, X)," & --  PAD352
	" 752 (BC_1, *, controlr, 1)," &
	" 753 (BC_1, IO_AF6, output3, X, 752, 1, PULL0)," & --  PAD351
	" 754 (BC_1, IO_AF6, input, X)," & --  PAD351
	" 755 (BC_1, *, internal, 1)," & -- PAD350.T
	" 756 (BC_1, *, internal, X)," & -- PAD350.O
	" 757 (BC_1, *, internal, X)," & -- PAD350.I
	" 758 (BC_1, *, controlr, 1)," &
	" 759 (BC_1, IO_AB7, output3, X, 758, 1, PULL0)," & --  PAD349
	" 760 (BC_1, IO_AB7, input, X)," & --  PAD349
	" 761 (BC_1, *, controlr, 1)," &
	" 762 (BC_1, IO_AC7, output3, X, 761, 1, PULL0)," & --  PAD348
	" 763 (BC_1, IO_AC7, input, X)," & --  PAD348
	" 764 (BC_1, *, controlr, 1)," &
	" 765 (BC_1, IO_AD7, output3, X, 764, 1, PULL0)," & --  PAD347
	" 766 (BC_1, IO_AD7, input, X)," & --  PAD347
	" 767 (BC_1, *, controlr, 1)," &
	" 768 (BC_1, IO_AE7, output3, X, 767, 1, PULL0)," & --  PAD346
	" 769 (BC_1, IO_AE7, input, X)," & --  PAD346
	" 770 (BC_1, *, controlr, 1)," &
	" 771 (BC_1, IO_AF7, output3, X, 770, 1, PULL0)," & --  PAD345
	" 772 (BC_1, IO_AF7, input, X)," & --  PAD345
	" 773 (BC_1, *, controlr, 1)," &
	" 774 (BC_1, IO_Y8, output3, X, 773, 1, PULL0)," & --  PAD344
	" 775 (BC_1, IO_Y8, input, X)," & --  PAD344
	" 776 (BC_1, *, controlr, 1)," &
	" 777 (BC_1, IO_AA8, output3, X, 776, 1, PULL0)," & --  PAD343
	" 778 (BC_1, IO_AA8, input, X)," & --  PAD343
	" 779 (BC_1, *, controlr, 1)," &
	" 780 (BC_1, IO_AE8, output3, X, 779, 1, PULL0)," & --  PAD342
	" 781 (BC_1, IO_AE8, input, X)," & --  PAD342
	" 782 (BC_1, *, controlr, 1)," &
	" 783 (BC_1, IO_AF8, output3, X, 782, 1, PULL0)," & --  PAD341
	" 784 (BC_1, IO_AF8, input, X)," & --  PAD341
	" 785 (BC_1, *, controlr, 1)," &
	" 786 (BC_1, IO_AB8, output3, X, 785, 1, PULL0)," & --  PAD340
	" 787 (BC_1, IO_AB8, input, X)," & --  PAD340
	" 788 (BC_1, *, controlr, 1)," &
	" 789 (BC_1, IO_W9, output3, X, 788, 1, PULL0)," & --  PAD339
	" 790 (BC_1, IO_W9, input, X)," & --  PAD339
	" 791 (BC_1, *, controlr, 1)," &
	" 792 (BC_1, IO_Y9, output3, X, 791, 1, PULL0)," & --  PAD338
	" 793 (BC_1, IO_Y9, input, X)," & --  PAD338
	" 794 (BC_1, *, controlr, 1)," &
	" 795 (BC_1, IO_AB9, output3, X, 794, 1, PULL0)," & --  PAD337
	" 796 (BC_1, IO_AB9, input, X)," & --  PAD337
	" 797 (BC_1, *, controlr, 1)," &
	" 798 (BC_1, IO_AC9, output3, X, 797, 1, PULL0)," & --  PAD336
	" 799 (BC_1, IO_AC9, input, X)," & --  PAD336
	" 800 (BC_1, *, controlr, 1)," &
	" 801 (BC_1, IO_AD9, output3, X, 800, 1, PULL0)," & --  PAD335
	" 802 (BC_1, IO_AD9, input, X)," & --  PAD335
	" 803 (BC_1, *, controlr, 1)," &
	" 804 (BC_1, IO_AE9, output3, X, 803, 1, PULL0)," & --  PAD334
	" 805 (BC_1, IO_AE9, input, X)," & --  PAD334
	" 806 (BC_1, *, controlr, 1)," &
	" 807 (BC_1, IO_AF9, output3, X, 806, 1, PULL0)," & --  PAD333
	" 808 (BC_1, IO_AF9, input, X)," & --  PAD333
	" 809 (BC_1, *, controlr, 1)," &
	" 810 (BC_1, IO_W10, output3, X, 809, 1, PULL0)," & --  PAD332
	" 811 (BC_1, IO_W10, input, X)," & --  PAD332
	" 812 (BC_1, *, controlr, 1)," &
	" 813 (BC_1, IO_Y10, output3, X, 812, 1, PULL0)," & --  PAD331
	" 814 (BC_1, IO_Y10, input, X)," & --  PAD331
	" 815 (BC_1, *, controlr, 1)," &
	" 816 (BC_1, IO_AB10, output3, X, 815, 1, PULL0)," & --  PAD330
	" 817 (BC_1, IO_AB10, input, X)," & --  PAD330
	" 818 (BC_1, *, controlr, 1)," &
	" 819 (BC_1, IO_AC10, output3, X, 818, 1, PULL0)," & --  PAD329
	" 820 (BC_1, IO_AC10, input, X)," & --  PAD329
	" 821 (BC_1, *, internal, 1)," & -- PAD328.T
	" 822 (BC_1, *, internal, X)," & -- PAD328.O
	" 823 (BC_1, *, internal, X)," & -- PAD328.I
	" 824 (BC_1, *, controlr, 1)," &
	" 825 (BC_1, IO_AE10, output3, X, 824, 1, PULL0)," & --  PAD327
	" 826 (BC_1, IO_AE10, input, X)," & --  PAD327
	" 827 (BC_1, *, controlr, 1)," &
	" 828 (BC_1, IO_AF10, output3, X, 827, 1, PULL0)," & --  PAD326
	" 829 (BC_1, IO_AF10, input, X)," & --  PAD326
	" 830 (BC_1, *, internal, 1)," & -- PAD325.T
	" 831 (BC_1, *, internal, X)," & -- PAD325.O
	" 832 (BC_1, *, internal, X)," & -- PAD325.I
	" 833 (BC_1, *, controlr, 1)," &
	" 834 (BC_1, IO_W11, output3, X, 833, 1, PULL0)," & --  PAD324
	" 835 (BC_1, IO_W11, input, X)," & --  PAD324
	" 836 (BC_1, *, controlr, 1)," &
	" 837 (BC_1, IO_Y11, output3, X, 836, 1, PULL0)," & --  PAD323
	" 838 (BC_1, IO_Y11, input, X)," & --  PAD323
	" 839 (BC_1, *, controlr, 1)," &
	" 840 (BC_1, IO_AA11, output3, X, 839, 1, PULL0)," & --  PAD322
	" 841 (BC_1, IO_AA11, input, X)," & --  PAD322
	" 842 (BC_1, *, controlr, 1)," &
	" 843 (BC_1, IO_AB11, output3, X, 842, 1, PULL0)," & --  PAD321
	" 844 (BC_1, IO_AB11, input, X)," & --  PAD321
	" 845 (BC_1, *, internal, 1)," & -- PAD320.T
	" 846 (BC_1, *, internal, X)," & -- PAD320.O
	" 847 (BC_1, *, internal, X)," & -- PAD320.I
	" 848 (BC_1, *, controlr, 1)," &
	" 849 (BC_1, IO_AE11, output3, X, 848, 1, PULL0)," & --  PAD319
	" 850 (BC_1, IO_AE11, input, X)," & --  PAD319
	" 851 (BC_1, *, controlr, 1)," &
	" 852 (BC_1, IO_AF11, output3, X, 851, 1, PULL0)," & --  PAD318
	" 853 (BC_1, IO_AF11, input, X)," & --  PAD318
	" 854 (BC_1, *, internal, 1)," & -- PAD317.T
	" 855 (BC_1, *, internal, X)," & -- PAD317.O
	" 856 (BC_1, *, internal, X)," & -- PAD317.I
	" 857 (BC_1, *, controlr, 1)," &
	" 858 (BC_1, IO_Y12, output3, X, 857, 1, PULL0)," & --  PAD316
	" 859 (BC_1, IO_Y12, input, X)," & --  PAD316
	" 860 (BC_1, *, controlr, 1)," &
	" 861 (BC_1, IO_AA12, output3, X, 860, 1, PULL0)," & --  PAD315
	" 862 (BC_1, IO_AA12, input, X)," & --  PAD315
	" 863 (BC_1, *, controlr, 1)," &
	" 864 (BC_1, IO_AB12, output3, X, 863, 1, PULL0)," & --  PAD314
	" 865 (BC_1, IO_AB12, input, X)," & --  PAD314
	" 866 (BC_1, *, controlr, 1)," &
	" 867 (BC_1, IO_AC12, output3, X, 866, 1, PULL0)," & --  PAD313
	" 868 (BC_1, IO_AC12, input, X)," & --  PAD313
	" 869 (BC_1, *, controlr, 1)," &
	" 870 (BC_1, IO_AE12, output3, X, 869, 1, PULL0)," & --  PAD312
	" 871 (BC_1, IO_AE12, input, X)," & --  PAD312
	" 872 (BC_1, *, controlr, 1)," &
	" 873 (BC_1, IO_AF12, output3, X, 872, 1, PULL0)," & --  PAD311
	" 874 (BC_1, IO_AF12, input, X)," & --  PAD311
	" 875 (BC_1, *, internal, 1)," & -- PAD310.T
	" 876 (BC_1, *, internal, X)," & -- PAD310.O
	" 877 (BC_1, *, internal, X)," & -- PAD310.I
	" 878 (BC_1, *, controlr, 1)," &
	" 879 (BC_1, IO_Y13, output3, X, 878, 1, PULL0)," & --  PAD309
	" 880 (BC_1, IO_Y13, input, X)," & --  PAD309
	" 881 (BC_1, *, controlr, 1)," &
	" 882 (BC_1, IO_AA13, output3, X, 881, 1, PULL0)," & --  PAD308
	" 883 (BC_1, IO_AA13, input, X)," & --  PAD308
	" 884 (BC_1, *, controlr, 1)," &
	" 885 (BC_1, IO_AB13, output3, X, 884, 1, PULL0)," & --  PAD307
	" 886 (BC_1, IO_AB13, input, X)," & --  PAD307
	" 887 (BC_1, *, controlr, 1)," &
	" 888 (BC_1, IO_AC13, output3, X, 887, 1, PULL0)," & --  PAD306
	" 889 (BC_1, IO_AC13, input, X)," & --  PAD306
	" 890 (BC_1, *, controlr, 1)," &
	" 891 (BC_1, IO_V14, output3, X, 890, 1, PULL0)," & --  PAD305
	" 892 (BC_1, IO_V14, input, X)," & --  PAD305
	" 893 (BC_1, *, internal, 1)," & -- PAD304.T
	" 894 (BC_1, *, internal, X)," & -- PAD304.O
	" 895 (BC_1, *, internal, X)," & -- PAD304.I
	" 896 (BC_1, *, internal, 1)," & -- PAD303.T
	" 897 (BC_1, *, internal, X)," & -- PAD303.O
	" 898 (BC_1, *, internal, X)," & -- PAD303.I
	" 899 (BC_1, *, internal, 1)," & -- PAD302.T
	" 900 (BC_1, *, internal, X)," & -- PAD302.O
	" 901 (BC_1, *, internal, X)," & -- PAD302.I
	" 902 (BC_1, *, controlr, 1)," &
	" 903 (BC_1, IO_AE13, output3, X, 902, 1, PULL0)," & --  PAD301
	" 904 (BC_1, IO_AE13, input, X)," & --  PAD301
	" 905 (BC_1, GCK1_AF13, input, X)," &
	" 906 (BC_1, GCK0_AF14, input, X)," &
	" 907 (BC_1, *, controlr, 1)," &
	" 908 (BC_1, IO_AE14, output3, X, 907, 1, PULL0)," & --  PAD300
	" 909 (BC_1, IO_AE14, input, X)," & --  PAD300
	" 910 (BC_1, *, internal, 1)," & -- PAD299.T
	" 911 (BC_1, *, internal, X)," & -- PAD299.O
	" 912 (BC_1, *, internal, X)," & -- PAD299.I
	" 913 (BC_1, *, internal, 1)," & -- PAD298.T
	" 914 (BC_1, *, internal, X)," & -- PAD298.O
	" 915 (BC_1, *, internal, X)," & -- PAD298.I
	" 916 (BC_1, *, controlr, 1)," &
	" 917 (BC_1, IO_AC14, output3, X, 916, 1, PULL0)," & --  PAD297
	" 918 (BC_1, IO_AC14, input, X)," & --  PAD297
	" 919 (BC_1, *, controlr, 1)," &
	" 920 (BC_1, IO_AB14, output3, X, 919, 1, PULL0)," & --  PAD296
	" 921 (BC_1, IO_AB14, input, X)," & --  PAD296
	" 922 (BC_1, *, controlr, 1)," &
	" 923 (BC_1, IO_AA14, output3, X, 922, 1, PULL0)," & --  PAD295
	" 924 (BC_1, IO_AA14, input, X)," & --  PAD295
	" 925 (BC_1, *, controlr, 1)," &
	" 926 (BC_1, IO_Y14, output3, X, 925, 1, PULL0)," & --  PAD294
	" 927 (BC_1, IO_Y14, input, X)," & --  PAD294
	" 928 (BC_1, *, controlr, 1)," &
	" 929 (BC_1, IO_AF15, output3, X, 928, 1, PULL0)," & --  PAD293
	" 930 (BC_1, IO_AF15, input, X)," & --  PAD293
	" 931 (BC_1, *, controlr, 1)," &
	" 932 (BC_1, IO_AE15, output3, X, 931, 1, PULL0)," & --  PAD292
	" 933 (BC_1, IO_AE15, input, X)," & --  PAD292
	" 934 (BC_1, *, internal, 1)," & -- PAD291.T
	" 935 (BC_1, *, internal, X)," & -- PAD291.O
	" 936 (BC_1, *, internal, X)," & -- PAD291.I
	" 937 (BC_1, *, controlr, 1)," &
	" 938 (BC_1, IO_AA15, output3, X, 937, 1, PULL0)," & --  PAD290
	" 939 (BC_1, IO_AA15, input, X)," & --  PAD290
	" 940 (BC_1, *, controlr, 1)," &
	" 941 (BC_1, IO_Y15, output3, X, 940, 1, PULL0)," & --  PAD289
	" 942 (BC_1, IO_Y15, input, X)," & --  PAD289
	" 943 (BC_1, *, controlr, 1)," &
	" 944 (BC_1, IO_W15, output3, X, 943, 1, PULL0)," & --  PAD288
	" 945 (BC_1, IO_W15, input, X)," & --  PAD288
	" 946 (BC_1, *, controlr, 1)," &
	" 947 (BC_1, IO_V15, output3, X, 946, 1, PULL0)," & --  PAD287
	" 948 (BC_1, IO_V15, input, X)," & --  PAD287
	" 949 (BC_1, *, controlr, 1)," &
	" 950 (BC_1, IO_AE16, output3, X, 949, 1, PULL0)," & --  PAD286
	" 951 (BC_1, IO_AE16, input, X)," & --  PAD286
	" 952 (BC_1, *, controlr, 1)," &
	" 953 (BC_1, IO_AD16, output3, X, 952, 1, PULL0)," & --  PAD285
	" 954 (BC_1, IO_AD16, input, X)," & --  PAD285
	" 955 (BC_1, *, internal, 1)," & -- PAD284.T
	" 956 (BC_1, *, internal, X)," & -- PAD284.O
	" 957 (BC_1, *, internal, X)," & -- PAD284.I
	" 958 (BC_1, *, controlr, 1)," &
	" 959 (BC_1, IO_AA16, output3, X, 958, 1, PULL0)," & --  PAD283
	" 960 (BC_1, IO_AA16, input, X)," & --  PAD283
	" 961 (BC_1, *, controlr, 1)," &
	" 962 (BC_1, IO_Y16, output3, X, 961, 1, PULL0)," & --  PAD282
	" 963 (BC_1, IO_Y16, input, X)," & --  PAD282
	" 964 (BC_1, *, internal, 1)," & -- PAD281.T
	" 965 (BC_1, *, internal, X)," & -- PAD281.O
	" 966 (BC_1, *, internal, X)," & -- PAD281.I
	" 967 (BC_1, *, controlr, 1)," &
	" 968 (BC_1, IO_AF17, output3, X, 967, 1, PULL0)," & --  PAD280
	" 969 (BC_1, IO_AF17, input, X)," & --  PAD280
	" 970 (BC_1, *, controlr, 1)," &
	" 971 (BC_1, IO_AE17, output3, X, 970, 1, PULL0)," & --  PAD279
	" 972 (BC_1, IO_AE17, input, X)," & --  PAD279
	" 973 (BC_1, *, controlr, 1)," &
	" 974 (BC_1, IO_AD17, output3, X, 973, 1, PULL0)," & --  PAD278
	" 975 (BC_1, IO_AD17, input, X)," & --  PAD278
	" 976 (BC_1, *, controlr, 1)," &
	" 977 (BC_1, IO_AC17, output3, X, 976, 1, PULL0)," & --  PAD277
	" 978 (BC_1, IO_AC17, input, X)," & --  PAD277
	" 979 (BC_1, *, internal, 1)," & -- PAD276.T
	" 980 (BC_1, *, internal, X)," & -- PAD276.O
	" 981 (BC_1, *, internal, X)," & -- PAD276.I
	" 982 (BC_1, *, controlr, 1)," &
	" 983 (BC_1, IO_Y17, output3, X, 982, 1, PULL0)," & --  PAD275
	" 984 (BC_1, IO_Y17, input, X)," & --  PAD275
	" 985 (BC_1, *, controlr, 1)," &
	" 986 (BC_1, IO_W17, output3, X, 985, 1, PULL0)," & --  PAD274
	" 987 (BC_1, IO_W17, input, X)," & --  PAD274
	" 988 (BC_1, *, internal, 1)," & -- PAD273.T
	" 989 (BC_1, *, internal, X)," & -- PAD273.O
	" 990 (BC_1, *, internal, X)," & -- PAD273.I
	" 991 (BC_1, *, controlr, 1)," &
	" 992 (BC_1, IO_AE18, output3, X, 991, 1, PULL0)," & --  PAD272
	" 993 (BC_1, IO_AE18, input, X)," & --  PAD272
	" 994 (BC_1, *, controlr, 1)," &
	" 995 (BC_1, IO_AD18, output3, X, 994, 1, PULL0)," & --  PAD271
	" 996 (BC_1, IO_AD18, input, X)," & --  PAD271
	" 997 (BC_1, *, controlr, 1)," &
	" 998 (BC_1, IO_AC18, output3, X, 997, 1, PULL0)," & --  PAD270
	" 999 (BC_1, IO_AC18, input, X)," & --  PAD270
	"1000 (BC_1, *, controlr, 1)," &
	"1001 (BC_1, IO_AB18, output3, X, 1000, 1, PULL0)," & --  PAD269
	"1002 (BC_1, IO_AB18, input, X)," & --  PAD269
	"1003 (BC_1, *, controlr, 1)," &
	"1004 (BC_1, IO_AA18, output3, X, 1003, 1, PULL0)," & --  PAD268
	"1005 (BC_1, IO_AA18, input, X)," & --  PAD268
	"1006 (BC_1, *, controlr, 1)," &
	"1007 (BC_1, IO_Y18, output3, X, 1006, 1, PULL0)," & --  PAD267
	"1008 (BC_1, IO_Y18, input, X)," & --  PAD267
	"1009 (BC_1, *, controlr, 1)," &
	"1010 (BC_1, IO_W18, output3, X, 1009, 1, PULL0)," & --  PAD266
	"1011 (BC_1, IO_W18, input, X)," & --  PAD266
	"1012 (BC_1, *, controlr, 1)," &
	"1013 (BC_1, IO_AB19, output3, X, 1012, 1, PULL0)," & --  PAD265
	"1014 (BC_1, IO_AB19, input, X)," & --  PAD265
	"1015 (BC_1, *, controlr, 1)," &
	"1016 (BC_1, IO_AF19, output3, X, 1015, 1, PULL0)," & --  PAD264
	"1017 (BC_1, IO_AF19, input, X)," & --  PAD264
	"1018 (BC_1, *, controlr, 1)," &
	"1019 (BC_1, IO_AA19, output3, X, 1018, 1, PULL0)," & --  PAD263
	"1020 (BC_1, IO_AA19, input, X)," & --  PAD263
	"1021 (BC_1, *, controlr, 1)," &
	"1022 (BC_1, IO_Y19, output3, X, 1021, 1, PULL0)," & --  PAD262
	"1023 (BC_1, IO_Y19, input, X)," & --  PAD262
	"1024 (BC_1, *, controlr, 1)," &
	"1025 (BC_1, IO_AE20, output3, X, 1024, 1, PULL0)," & --  PAD261
	"1026 (BC_1, IO_AE20, input, X)," & --  PAD261
	"1027 (BC_1, *, controlr, 1)," &
	"1028 (BC_1, IO_AD20, output3, X, 1027, 1, PULL0)," & --  PAD260
	"1029 (BC_1, IO_AD20, input, X)," & --  PAD260
	"1030 (BC_1, *, controlr, 1)," &
	"1031 (BC_1, IO_AC20, output3, X, 1030, 1, PULL0)," & --  PAD259
	"1032 (BC_1, IO_AC20, input, X)," & --  PAD259
	"1033 (BC_1, *, controlr, 1)," &
	"1034 (BC_1, IO_AB20, output3, X, 1033, 1, PULL0)," & --  PAD258
	"1035 (BC_1, IO_AB20, input, X)," & --  PAD258
	"1036 (BC_1, *, controlr, 1)," &
	"1037 (BC_1, IO_AA20, output3, X, 1036, 1, PULL0)," & --  PAD257
	"1038 (BC_1, IO_AA20, input, X)," & --  PAD257
	"1039 (BC_1, *, controlr, 1)," &
	"1040 (BC_1, IO_Y20, output3, X, 1039, 1, PULL0)," & --  PAD256
	"1041 (BC_1, IO_Y20, input, X)," & --  PAD256
	"1042 (BC_1, *, controlr, 1)," &
	"1043 (BC_1, IO_AF21, output3, X, 1042, 1, PULL0)," & --  PAD255
	"1044 (BC_1, IO_AF21, input, X)," & --  PAD255
	"1045 (BC_1, *, controlr, 1)," &
	"1046 (BC_1, IO_AE21, output3, X, 1045, 1, PULL0)," & --  PAD254
	"1047 (BC_1, IO_AE21, input, X)," & --  PAD254
	"1048 (BC_1, *, controlr, 1)," &
	"1049 (BC_1, IO_AD21, output3, X, 1048, 1, PULL0)," & --  PAD253
	"1050 (BC_1, IO_AD21, input, X)," & --  PAD253
	"1051 (BC_1, *, controlr, 1)," &
	"1052 (BC_1, IO_AC21, output3, X, 1051, 1, PULL0)," & --  PAD252
	"1053 (BC_1, IO_AC21, input, X)," & --  PAD252
	"1054 (BC_1, *, internal, 1)," & -- PAD251.T
	"1055 (BC_1, *, internal, X)," & -- PAD251.O
	"1056 (BC_1, *, internal, X)," & -- PAD251.I
	"1057 (BC_1, *, controlr, 1)," &
	"1058 (BC_1, IO_AF22, output3, X, 1057, 1, PULL0)," & --  PAD250
	"1059 (BC_1, IO_AF22, input, X)," & --  PAD250
	"1060 (BC_1, *, controlr, 1)," &
	"1061 (BC_1, IO_AE22, output3, X, 1060, 1, PULL0)," & --  PAD249
	"1062 (BC_1, IO_AE22, input, X)," & --  PAD249
	"1063 (BC_1, *, controlr, 1)," &
	"1064 (BC_1, IO_AB21, output3, X, 1063, 1, PULL0)," & --  PAD248
	"1065 (BC_1, IO_AB21, input, X)," & --  PAD248
	"1066 (BC_1, *, controlr, 1)," &
	"1067 (BC_1, IO_AA21, output3, X, 1066, 1, PULL0)," & --  PAD247
	"1068 (BC_1, IO_AA21, input, X)," & --  PAD247
	"1069 (BC_1, *, controlr, 1)," &
	"1070 (BC_1, IO_AF23, output3, X, 1069, 1, PULL0)," & --  PAD246
	"1071 (BC_1, IO_AF23, input, X)," & --  PAD246
	"1072 (BC_1, *, controlr, 1)," &
	"1073 (BC_1, IO_AE23, output3, X, 1072, 1, PULL0)," & --  PAD245
	"1074 (BC_1, IO_AE23, input, X)," & --  PAD245
	"1075 (BC_1, *, controlr, 1)," &
	"1076 (BC_1, IO_AD23, output3, X, 1075, 1, PULL0)," & --  PAD244
	"1077 (BC_1, IO_AD23, input, X)," & --  PAD244
	"1078 (BC_1, *, internal, 1)," & -- PAD243.T
	"1079 (BC_1, *, internal, X)," & -- PAD243.O
	"1080 (BC_1, *, internal, X)," & -- PAD243.I
	"1081 (BC_1, *, controlr, 1)," &
	"1082 (BC_1, IO_AF24, output3, X, 1081, 1, PULL0)," & --  PAD242
	"1083 (BC_1, IO_AF24, input, X)," & --  PAD242
	"1084 (BC_1, *, controlr, 1)," &
	"1085 (BC_1, IO_AF25, output3, X, 1084, 1, PULL0)," & --  PAD241
	"1086 (BC_1, IO_AF25, input, X)," & --  PAD241
	"1087 (BC_1, *, controlr, 1)," &
	"1088 (BC_1, DONE_AE26, output3, X, 1087, 1, PULL1)," &
	"1089 (BC_1, DONE_AE26, input, X)," &
	"1090 (BC_1, *, internal, 1)," & -- PROGRAM_B.I
	"1091 (BC_1, *, controlr, 1)," &
	"1092 (BC_1, INIT_AD25, output3, X, 1091, 1, PULL1)," & --  PAD240
	"1093 (BC_1, INIT_AD25, input, X)," & --  PAD240
	"1094 (BC_1, *, controlr, 1)," &
	"1095 (BC_1, IO_AD26, output3, X, 1094, 1, PULL0)," & --  PAD239
	"1096 (BC_1, IO_AD26, input, X)," & --  PAD239
	"1097 (BC_1, *, internal, 1)," & -- PAD238.T
	"1098 (BC_1, *, internal, X)," & -- PAD238.O
	"1099 (BC_1, *, internal, X)," & -- PAD238.I
	"1100 (BC_1, *, controlr, 1)," &
	"1101 (BC_1, IO_AB23, output3, X, 1100, 1, PULL0)," & --  PAD237
	"1102 (BC_1, IO_AB23, input, X)," & --  PAD237
	"1103 (BC_1, *, controlr, 1)," &
	"1104 (BC_1, IO_AB25, output3, X, 1103, 1, PULL0)," & --  PAD236
	"1105 (BC_1, IO_AB25, input, X)," & --  PAD236
	"1106 (BC_1, *, controlr, 1)," &
	"1107 (BC_1, IO_AB26, output3, X, 1106, 1, PULL0)," & --  PAD235
	"1108 (BC_1, IO_AB26, input, X)," & --  PAD235
	"1109 (BC_1, *, controlr, 1)," &
	"1110 (BC_1, IO_AA23, output3, X, 1109, 1, PULL0)," & --  PAD234
	"1111 (BC_1, IO_AA23, input, X)," & --  PAD234
	"1112 (BC_1, *, controlr, 1)," &
	"1113 (BC_1, IO_AA24, output3, X, 1112, 1, PULL0)," & --  PAD233
	"1114 (BC_1, IO_AA24, input, X)," & --  PAD233
	"1115 (BC_1, *, controlr, 1)," &
	"1116 (BC_1, IO_AA25, output3, X, 1115, 1, PULL0)," & --  PAD232
	"1117 (BC_1, IO_AA25, input, X)," & --  PAD232
	"1118 (BC_1, *, controlr, 1)," &
	"1119 (BC_1, IO_AA26, output3, X, 1118, 1, PULL0)," & --  PAD231
	"1120 (BC_1, IO_AA26, input, X)," & --  PAD231
	"1121 (BC_1, *, internal, 1)," & -- PAD230.T
	"1122 (BC_1, *, internal, X)," & -- PAD230.O
	"1123 (BC_1, *, internal, X)," & -- PAD230.I
	"1124 (BC_1, *, controlr, 1)," &
	"1125 (BC_1, IO_Y22, output3, X, 1124, 1, PULL0)," & --  PAD229
	"1126 (BC_1, IO_Y22, input, X)," & --  PAD229
	"1127 (BC_1, *, controlr, 1)," &
	"1128 (BC_1, IO_Y23, output3, X, 1127, 1, PULL0)," & --  PAD228
	"1129 (BC_1, IO_Y23, input, X)," & --  PAD228
	"1130 (BC_1, *, controlr, 1)," &
	"1131 (BC_1, IO_Y24, output3, X, 1130, 1, PULL0)," & --  PAD227
	"1132 (BC_1, IO_Y24, input, X)," & --  PAD227
	"1133 (BC_1, *, controlr, 1)," &
	"1134 (BC_1, IO_Y25, output3, X, 1133, 1, PULL0)," & --  PAD226
	"1135 (BC_1, IO_Y25, input, X)," & --  PAD226
	"1136 (BC_1, *, controlr, 1)," &
	"1137 (BC_1, IO_Y26, output3, X, 1136, 1, PULL0)," & --  PAD225
	"1138 (BC_1, IO_Y26, input, X)," & --  PAD225
	"1139 (BC_1, *, controlr, 1)," &
	"1140 (BC_1, IO_W21, output3, X, 1139, 1, PULL0)," & --  PAD224
	"1141 (BC_1, IO_W21, input, X)," & --  PAD224
	"1142 (BC_1, *, controlr, 1)," &
	"1143 (BC_1, IO_W22, output3, X, 1142, 1, PULL0)," & --  PAD223
	"1144 (BC_1, IO_W22, input, X)," & --  PAD223
	"1145 (BC_1, *, controlr, 1)," &
	"1146 (BC_1, IO_W25, output3, X, 1145, 1, PULL0)," & --  PAD222
	"1147 (BC_1, IO_W25, input, X)," & --  PAD222
	"1148 (BC_1, *, controlr, 1)," &
	"1149 (BC_1, IO_W26, output3, X, 1148, 1, PULL0)," & --  PAD221
	"1150 (BC_1, IO_W26, input, X)," & --  PAD221
	"1151 (BC_1, *, controlr, 1)," &
	"1152 (BC_1, IO_W20, output3, X, 1151, 1, PULL0)," & --  PAD220
	"1153 (BC_1, IO_W20, input, X)," & --  PAD220
	"1154 (BC_1, *, controlr, 1)," &
	"1155 (BC_1, IO_V19, output3, X, 1154, 1, PULL0)," & --  PAD219
	"1156 (BC_1, IO_V19, input, X)," & --  PAD219
	"1157 (BC_1, *, controlr, 1)," &
	"1158 (BC_1, IO_V20, output3, X, 1157, 1, PULL0)," & --  PAD218
	"1159 (BC_1, IO_V20, input, X)," & --  PAD218
	"1160 (BC_1, *, controlr, 1)," &
	"1161 (BC_1, IO_V22, output3, X, 1160, 1, PULL0)," & --  PAD217
	"1162 (BC_1, IO_V22, input, X)," & --  PAD217
	"1163 (BC_1, *, controlr, 1)," &
	"1164 (BC_1, IO_V23, output3, X, 1163, 1, PULL0)," & --  PAD216
	"1165 (BC_1, IO_V23, input, X)," & --  PAD216
	"1166 (BC_1, *, controlr, 1)," &
	"1167 (BC_1, IO_V24, output3, X, 1166, 1, PULL0)," & --  PAD215
	"1168 (BC_1, IO_V24, input, X)," & --  PAD215
	"1169 (BC_1, *, controlr, 1)," &
	"1170 (BC_1, IO_V25, output3, X, 1169, 1, PULL0)," & --  PAD214
	"1171 (BC_1, IO_V25, input, X)," & --  PAD214
	"1172 (BC_1, *, controlr, 1)," &
	"1173 (BC_1, IO_V26, output3, X, 1172, 1, PULL0)," & --  PAD213
	"1174 (BC_1, IO_V26, input, X)," & --  PAD213
	"1175 (BC_1, *, controlr, 1)," &
	"1176 (BC_1, IO_U19, output3, X, 1175, 1, PULL0)," & --  PAD212
	"1177 (BC_1, IO_U19, input, X)," & --  PAD212
	"1178 (BC_1, *, controlr, 1)," &
	"1179 (BC_1, IO_U20, output3, X, 1178, 1, PULL0)," & --  PAD211
	"1180 (BC_1, IO_U20, input, X)," & --  PAD211
	"1181 (BC_1, *, controlr, 1)," &
	"1182 (BC_1, IO_U22, output3, X, 1181, 1, PULL0)," & --  PAD210
	"1183 (BC_1, IO_U22, input, X)," & --  PAD210
	"1184 (BC_1, *, controlr, 1)," &
	"1185 (BC_1, IO_U23, output3, X, 1184, 1, PULL0)," & --  PAD209
	"1186 (BC_1, IO_U23, input, X)," & --  PAD209
	"1187 (BC_1, *, internal, 1)," & -- PAD208.T
	"1188 (BC_1, *, internal, X)," & -- PAD208.O
	"1189 (BC_1, *, internal, X)," & -- PAD208.I
	"1190 (BC_1, *, controlr, 1)," &
	"1191 (BC_1, IO_U26, output3, X, 1190, 1, PULL0)," & --  PAD207
	"1192 (BC_1, IO_U26, input, X)," & --  PAD207
	"1193 (BC_1, *, controlr, 1)," &
	"1194 (BC_1, IO_R18, output3, X, 1193, 1, PULL0)," & --  PAD206
	"1195 (BC_1, IO_R18, input, X)," & --  PAD206
	"1196 (BC_1, *, internal, 1)," & -- PAD205.T
	"1197 (BC_1, *, internal, X)," & -- PAD205.O
	"1198 (BC_1, *, internal, X)," & -- PAD205.I
	"1199 (BC_1, *, controlr, 1)," &
	"1200 (BC_1, IO_T19, output3, X, 1199, 1, PULL0)," & --  PAD204
	"1201 (BC_1, IO_T19, input, X)," & --  PAD204
	"1202 (BC_1, *, controlr, 1)," &
	"1203 (BC_1, IO_T20, output3, X, 1202, 1, PULL0)," & --  PAD203
	"1204 (BC_1, IO_T20, input, X)," & --  PAD203
	"1205 (BC_1, *, controlr, 1)," &
	"1206 (BC_1, IO_T21, output3, X, 1205, 1, PULL0)," & --  PAD202
	"1207 (BC_1, IO_T21, input, X)," & --  PAD202
	"1208 (BC_1, *, controlr, 1)," &
	"1209 (BC_1, IO_T22, output3, X, 1208, 1, PULL0)," & --  PAD201
	"1210 (BC_1, IO_T22, input, X)," & --  PAD201
	"1211 (BC_1, *, internal, 1)," & -- PAD200.T
	"1212 (BC_1, *, internal, X)," & -- PAD200.O
	"1213 (BC_1, *, internal, X)," & -- PAD200.I
	"1214 (BC_1, *, controlr, 1)," &
	"1215 (BC_1, IO_T25, output3, X, 1214, 1, PULL0)," & --  PAD199
	"1216 (BC_1, IO_T25, input, X)," & --  PAD199
	"1217 (BC_1, *, controlr, 1)," &
	"1218 (BC_1, IO_T26, output3, X, 1217, 1, PULL0)," & --  PAD198
	"1219 (BC_1, IO_T26, input, X)," & --  PAD198
	"1220 (BC_1, *, internal, 1)," & -- PAD197.T
	"1221 (BC_1, *, internal, X)," & -- PAD197.O
	"1222 (BC_1, *, internal, X)," & -- PAD197.I
	"1223 (BC_1, *, controlr, 1)," &
	"1224 (BC_1, IO_R20, output3, X, 1223, 1, PULL0)," & --  PAD196
	"1225 (BC_1, IO_R20, input, X)," & --  PAD196
	"1226 (BC_1, *, controlr, 1)," &
	"1227 (BC_1, IO_R21, output3, X, 1226, 1, PULL0)," & --  PAD195
	"1228 (BC_1, IO_R21, input, X)," & --  PAD195
	"1229 (BC_1, *, controlr, 1)," &
	"1230 (BC_1, IO_R22, output3, X, 1229, 1, PULL0)," & --  PAD194
	"1231 (BC_1, IO_R22, input, X)," & --  PAD194
	"1232 (BC_1, *, controlr, 1)," &
	"1233 (BC_1, IO_R23, output3, X, 1232, 1, PULL0)," & --  PAD193
	"1234 (BC_1, IO_R23, input, X)," & --  PAD193
	"1235 (BC_1, *, controlr, 1)," &
	"1236 (BC_1, IO_R25, output3, X, 1235, 1, PULL0)," & --  PAD192
	"1237 (BC_1, IO_R25, input, X)," & --  PAD192
	"1238 (BC_1, *, controlr, 1)," &
	"1239 (BC_1, IO_R26, output3, X, 1238, 1, PULL0)," & --  PAD191
	"1240 (BC_1, IO_R26, input, X)," & --  PAD191
	"1241 (BC_1, *, internal, 1)," & -- PAD190.T
	"1242 (BC_1, *, internal, X)," & -- PAD190.O
	"1243 (BC_1, *, internal, X)," & -- PAD190.I
	"1244 (BC_1, *, controlr, 1)," &
	"1245 (BC_1, IO_P20, output3, X, 1244, 1, PULL0)," & --  PAD189
	"1246 (BC_1, IO_P20, input, X)," & --  PAD189
	"1247 (BC_1, *, controlr, 1)," &
	"1248 (BC_1, IO_P21, output3, X, 1247, 1, PULL0)," & --  PAD188
	"1249 (BC_1, IO_P21, input, X)," & --  PAD188
	"1250 (BC_1, *, controlr, 1)," &
	"1251 (BC_1, IO_P22, output3, X, 1250, 1, PULL0)," & --  PAD187
	"1252 (BC_1, IO_P22, input, X)," & --  PAD187
	"1253 (BC_1, *, controlr, 1)," &
	"1254 (BC_1, IO_P23, output3, X, 1253, 1, PULL0)," & --  PAD186
	"1255 (BC_1, IO_P23, input, X)," & --  PAD186
	"1256 (BC_1, *, controlr, 1)," &
	"1257 (BC_1, IO_P25, output3, X, 1256, 1, PULL0)," & --  PAD185
	"1258 (BC_1, IO_P25, input, X)," & --  PAD185
	"1259 (BC_1, *, controlr, 1)," &
	"1260 (BC_1, IO_P26, output3, X, 1259, 1, PULL0)," & --  PAD184
	"1261 (BC_1, IO_P26, input, X)," & --  PAD184
	"1262 (BC_1, *, internal, 1)," & -- PAD183.T
	"1263 (BC_1, *, internal, X)," & -- PAD183.O
	"1264 (BC_1, *, internal, X)," & -- PAD183.I
	"1265 (BC_1, *, internal, 1)," & -- PAD182.T
	"1266 (BC_1, *, internal, X)," & -- PAD182.O
	"1267 (BC_1, *, internal, X)," & -- PAD182.I
	"1268 (BC_1, *, controlr, 1)," &
	"1269 (BC_1, IO_N24, output3, X, 1268, 1, PULL0)," & --  PAD181
	"1270 (BC_1, IO_N24, input, X)," & --  PAD181
	"1271 (BC_1, *, controlr, 1)," &
	"1272 (BC_1, IO_N26, output3, X, 1271, 1, PULL0)," & --  PAD180
	"1273 (BC_1, IO_N26, input, X)," & --  PAD180
	"1274 (BC_1, *, controlr, 1)," &
	"1275 (BC_1, IO_N25, output3, X, 1274, 1, PULL0)," & --  PAD179
	"1276 (BC_1, IO_N25, input, X)," & --  PAD179
	"1277 (BC_1, *, internal, 1)," & -- PAD178.T
	"1278 (BC_1, *, internal, X)," & -- PAD178.O
	"1279 (BC_1, *, internal, X)," & -- PAD178.I
	"1280 (BC_1, *, controlr, 1)," &
	"1281 (BC_1, IO_N22, output3, X, 1280, 1, PULL0)," & --  PAD177
	"1282 (BC_1, IO_N22, input, X)," & --  PAD177
	"1283 (BC_1, *, controlr, 1)," &
	"1284 (BC_1, IO_M23, output3, X, 1283, 1, PULL0)," & --  PAD176
	"1285 (BC_1, IO_M23, input, X)," & --  PAD176
	"1286 (BC_1, *, controlr, 1)," &
	"1287 (BC_1, IO_N21, output3, X, 1286, 1, PULL0)," & --  PAD175
	"1288 (BC_1, IO_N21, input, X)," & --  PAD175
	"1289 (BC_1, *, controlr, 1)," &
	"1290 (BC_1, IO_N20, output3, X, 1289, 1, PULL0)," & --  PAD174
	"1291 (BC_1, IO_N20, input, X)," & --  PAD174
	"1292 (BC_1, *, controlr, 1)," &
	"1293 (BC_1, IO_M26, output3, X, 1292, 1, PULL0)," & --  PAD173
	"1294 (BC_1, IO_M26, input, X)," & --  PAD173
	"1295 (BC_1, *, controlr, 1)," &
	"1296 (BC_1, IO_M25, output3, X, 1295, 1, PULL0)," & --  PAD172
	"1297 (BC_1, IO_M25, input, X)," & --  PAD172
	"1298 (BC_1, *, internal, 1)," & -- PAD171.T
	"1299 (BC_1, *, internal, X)," & -- PAD171.O
	"1300 (BC_1, *, internal, X)," & -- PAD171.I
	"1301 (BC_1, *, controlr, 1)," &
	"1302 (BC_1, IO_M21, output3, X, 1301, 1, PULL0)," & --  PAD170
	"1303 (BC_1, IO_M21, input, X)," & --  PAD170
	"1304 (BC_1, *, controlr, 1)," &
	"1305 (BC_1, IO_M20, output3, X, 1304, 1, PULL0)," & --  PAD169
	"1306 (BC_1, IO_M20, input, X)," & --  PAD169
	"1307 (BC_1, *, controlr, 1)," &
	"1308 (BC_1, IO_M19, output3, X, 1307, 1, PULL0)," & --  PAD168
	"1309 (BC_1, IO_M19, input, X)," & --  PAD168
	"1310 (BC_1, *, controlr, 1)," &
	"1311 (BC_1, IO_M18, output3, X, 1310, 1, PULL0)," & --  PAD167
	"1312 (BC_1, IO_M18, input, X)," & --  PAD167
	"1313 (BC_1, *, controlr, 1)," &
	"1314 (BC_1, IO_L25, output3, X, 1313, 1, PULL0)," & --  PAD166
	"1315 (BC_1, IO_L25, input, X)," & --  PAD166
	"1316 (BC_1, *, controlr, 1)," &
	"1317 (BC_1, IO_L24, output3, X, 1316, 1, PULL0)," & --  PAD165
	"1318 (BC_1, IO_L24, input, X)," & --  PAD165
	"1319 (BC_1, *, internal, 1)," & -- PAD164.T
	"1320 (BC_1, *, internal, X)," & -- PAD164.O
	"1321 (BC_1, *, internal, X)," & -- PAD164.I
	"1322 (BC_1, *, controlr, 1)," &
	"1323 (BC_1, IO_L21, output3, X, 1322, 1, PULL0)," & --  PAD163
	"1324 (BC_1, IO_L21, input, X)," & --  PAD163
	"1325 (BC_1, *, controlr, 1)," &
	"1326 (BC_1, IO_L20, output3, X, 1325, 1, PULL0)," & --  PAD162
	"1327 (BC_1, IO_L20, input, X)," & --  PAD162
	"1328 (BC_1, *, internal, 1)," & -- PAD161.T
	"1329 (BC_1, *, internal, X)," & -- PAD161.O
	"1330 (BC_1, *, internal, X)," & -- PAD161.I
	"1331 (BC_1, *, controlr, 1)," &
	"1332 (BC_1, IO_K26, output3, X, 1331, 1, PULL0)," & --  PAD160
	"1333 (BC_1, IO_K26, input, X)," & --  PAD160
	"1334 (BC_1, *, controlr, 1)," &
	"1335 (BC_1, IO_K25, output3, X, 1334, 1, PULL0)," & --  PAD159
	"1336 (BC_1, IO_K25, input, X)," & --  PAD159
	"1337 (BC_1, *, controlr, 1)," &
	"1338 (BC_1, IO_K23, output3, X, 1337, 1, PULL0)," & --  PAD158
	"1339 (BC_1, IO_K23, input, X)," & --  PAD158
	"1340 (BC_1, *, controlr, 1)," &
	"1341 (BC_1, IO_K20, output3, X, 1340, 1, PULL0)," & --  PAD157
	"1342 (BC_1, IO_K20, input, X)," & --  PAD157
	"1343 (BC_1, *, internal, 1)," & -- PAD156.T
	"1344 (BC_1, *, internal, X)," & -- PAD156.O
	"1345 (BC_1, *, internal, X)," & -- PAD156.I
	"1346 (BC_1, *, controlr, 1)," &
	"1347 (BC_1, IO_K19, output3, X, 1346, 1, PULL0)," & --  PAD155
	"1348 (BC_1, IO_K19, input, X)," & --  PAD155
	"1349 (BC_1, *, controlr, 1)," &
	"1350 (BC_1, IO_J26, output3, X, 1349, 1, PULL0)," & --  PAD154
	"1351 (BC_1, IO_J26, input, X)," & --  PAD154
	"1352 (BC_1, *, internal, 1)," & -- PAD153.T
	"1353 (BC_1, *, internal, X)," & -- PAD153.O
	"1354 (BC_1, *, internal, X)," & -- PAD153.I
	"1355 (BC_1, *, controlr, 1)," &
	"1356 (BC_1, IO_J25, output3, X, 1355, 1, PULL0)," & --  PAD152
	"1357 (BC_1, IO_J25, input, X)," & --  PAD152
	"1358 (BC_1, *, controlr, 1)," &
	"1359 (BC_1, IO_J24, output3, X, 1358, 1, PULL0)," & --  PAD151
	"1360 (BC_1, IO_J24, input, X)," & --  PAD151
	"1361 (BC_1, *, controlr, 1)," &
	"1362 (BC_1, IO_J23, output3, X, 1361, 1, PULL0)," & --  PAD150
	"1363 (BC_1, IO_J23, input, X)," & --  PAD150
	"1364 (BC_1, *, controlr, 1)," &
	"1365 (BC_1, IO_J22, output3, X, 1364, 1, PULL0)," & --  PAD149
	"1366 (BC_1, IO_J22, input, X)," & --  PAD149
	"1367 (BC_1, *, controlr, 1)," &
	"1368 (BC_1, IO_J21, output3, X, 1367, 1, PULL0)," & --  PAD148
	"1369 (BC_1, IO_J21, input, X)," & --  PAD148
	"1370 (BC_1, *, controlr, 1)," &
	"1371 (BC_1, IO_J20, output3, X, 1370, 1, PULL0)," & --  PAD147
	"1372 (BC_1, IO_J20, input, X)," & --  PAD147
	"1373 (BC_1, *, controlr, 1)," &
	"1374 (BC_1, IO_J19, output3, X, 1373, 1, PULL0)," & --  PAD146
	"1375 (BC_1, IO_J19, input, X)," & --  PAD146
	"1376 (BC_1, *, controlr, 1)," &
	"1377 (BC_1, IO_H22, output3, X, 1376, 1, PULL0)," & --  PAD145
	"1378 (BC_1, IO_H22, input, X)," & --  PAD145
	"1379 (BC_1, *, controlr, 1)," &
	"1380 (BC_1, IO_H26, output3, X, 1379, 1, PULL0)," & --  PAD144
	"1381 (BC_1, IO_H26, input, X)," & --  PAD144
	"1382 (BC_1, *, controlr, 1)," &
	"1383 (BC_1, IO_H21, output3, X, 1382, 1, PULL0)," & --  PAD143
	"1384 (BC_1, IO_H21, input, X)," & --  PAD143
	"1385 (BC_1, *, controlr, 1)," &
	"1386 (BC_1, IO_H20, output3, X, 1385, 1, PULL0)," & --  PAD142
	"1387 (BC_1, IO_H20, input, X)," & --  PAD142
	"1388 (BC_1, *, controlr, 1)," &
	"1389 (BC_1, IO_G25, output3, X, 1388, 1, PULL0)," & --  PAD141
	"1390 (BC_1, IO_G25, input, X)," & --  PAD141
	"1391 (BC_1, *, controlr, 1)," &
	"1392 (BC_1, IO_G24, output3, X, 1391, 1, PULL0)," & --  PAD140
	"1393 (BC_1, IO_G24, input, X)," & --  PAD140
	"1394 (BC_1, *, controlr, 1)," &
	"1395 (BC_1, IO_G23, output3, X, 1394, 1, PULL0)," & --  PAD139
	"1396 (BC_1, IO_G23, input, X)," & --  PAD139
	"1397 (BC_1, *, controlr, 1)," &
	"1398 (BC_1, IO_G22, output3, X, 1397, 1, PULL0)," & --  PAD138
	"1399 (BC_1, IO_G22, input, X)," & --  PAD138
	"1400 (BC_1, *, controlr, 1)," &
	"1401 (BC_1, IO_G21, output3, X, 1400, 1, PULL0)," & --  PAD137
	"1402 (BC_1, IO_G21, input, X)," & --  PAD137
	"1403 (BC_1, *, controlr, 1)," &
	"1404 (BC_1, IO_G20, output3, X, 1403, 1, PULL0)," & --  PAD136
	"1405 (BC_1, IO_G20, input, X)," & --  PAD136
	"1406 (BC_1, *, controlr, 1)," &
	"1407 (BC_1, IO_F26, output3, X, 1406, 1, PULL0)," & --  PAD135
	"1408 (BC_1, IO_F26, input, X)," & --  PAD135
	"1409 (BC_1, *, controlr, 1)," &
	"1410 (BC_1, IO_F25, output3, X, 1409, 1, PULL0)," & --  PAD134
	"1411 (BC_1, IO_F25, input, X)," & --  PAD134
	"1412 (BC_1, *, controlr, 1)," &
	"1413 (BC_1, IO_F24, output3, X, 1412, 1, PULL0)," & --  PAD133
	"1414 (BC_1, IO_F24, input, X)," & --  PAD133
	"1415 (BC_1, *, controlr, 1)," &
	"1416 (BC_1, IO_F23, output3, X, 1415, 1, PULL0)," & --  PAD132
	"1417 (BC_1, IO_F23, input, X)," & --  PAD132
	"1418 (BC_1, *, internal, 1)," & -- PAD131.T
	"1419 (BC_1, *, internal, X)," & -- PAD131.O
	"1420 (BC_1, *, internal, X)," & -- PAD131.I
	"1421 (BC_1, *, controlr, 1)," &
	"1422 (BC_1, IO_E26, output3, X, 1421, 1, PULL0)," & --  PAD130
	"1423 (BC_1, IO_E26, input, X)," & --  PAD130
	"1424 (BC_1, *, controlr, 1)," &
	"1425 (BC_1, IO_E25, output3, X, 1424, 1, PULL0)," & --  PAD129
	"1426 (BC_1, IO_E25, input, X)," & --  PAD129
	"1427 (BC_1, *, controlr, 1)," &
	"1428 (BC_1, IO_E23, output3, X, 1427, 1, PULL0)," & --  PAD128
	"1429 (BC_1, IO_E23, input, X)," & --  PAD128
	"1430 (BC_1, *, controlr, 1)," &
	"1431 (BC_1, IO_E22, output3, X, 1430, 1, PULL0)," & --  PAD127
	"1432 (BC_1, IO_E22, input, X)," & --  PAD127
	"1433 (BC_1, *, controlr, 1)," &
	"1434 (BC_1, IO_F21, output3, X, 1433, 1, PULL0)," & --  PAD126
	"1435 (BC_1, IO_F21, input, X)," & --  PAD126
	"1436 (BC_1, *, controlr, 1)," &
	"1437 (BC_1, IO_E21, output3, X, 1436, 1, PULL0)," & --  PAD125
	"1438 (BC_1, IO_E21, input, X)," & --  PAD125
	"1439 (BC_1, *, controlr, 1)," &
	"1440 (BC_1, IO_D26, output3, X, 1439, 1, PULL0)," & --  PAD124
	"1441 (BC_1, IO_D26, input, X)," & --  PAD124
	"1442 (BC_1, *, internal, 1)," & -- PAD123.T
	"1443 (BC_1, *, internal, X)," & -- PAD123.O
	"1444 (BC_1, *, internal, X)," & -- PAD123.I
	"1445 (BC_1, *, controlr, 1)," &
	"1446 (BC_1, IO_C26, output3, X, 1445, 1, PULL0)," & --  PAD122
	"1447 (BC_1, IO_C26, input, X)," & --  PAD122
	"1448 (BC_1, *, controlr, 1)," &
	"1449 (BC_1, IO_B26, output3, X, 1448, 1, PULL0)," & --  PAD121
	"1450 (BC_1, IO_B26, input, X)," & --  PAD121
	"1451 (BC_1, *, controlr, 1)," &
	"1452 (BC_1, CCLK_A25, output3, X, 1451, 1, PULL1)," &
	"1453 (BC_1, CCLK_A25, input, X)";

	
attribute DESIGN_WARNING of XC2S400E_FG676 : entity is
        "This is a preliminary BSDL file which has not been verified." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "The boundary scan test vectors must keep the PROGRAM pin" &
                "either 3-stated or driving high.  If the PROGRAM pin" &
                "is driven low through any means, the TAP controller" &
                "will reset." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control is not captured properly when" &
                "GTS is activated." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
	"The disable values of a 3-stated I/O in this file" &
		"correspond with configuration mode pin settings without" &
		"pre-configuration pull-up resistors.  For the" &
                "modes with pull-up resistors, change PULL0 to PULL1." &
	"In EXTEST, the determination of whether this is" &
		"a pull-up configuration mode depends upon the values" &
		"shifted in for the mode pin register cells.";

end XC2S400E_FG676;