BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: TMS320C5535

-------------------------------------------------------------------------------
--                                                                           --
--  TI TMS320C5535   16-Bit 144-pin Fixed-Point DSP with Boundary Scan       --
--                                                                           --
-------------------------------------------------------------------------------
--                                                                           --
--  Supported Devices: TMS320C5535-ZHH  144-pin BGA Silicon Rev 2.0 only     --
--                                                                           --
-------------------------------------------------------------------------------
--                                                                           --
--  Created by    : Texas Instruments Incorporated                           --
--  Documentation : TMS320C5535 Users Guides                                 --
--  BSDL Revision : 1.02                                                     --
--  BSDL status   : Preliminary                                              --
--  Date created  : 11/15/2011                                               --
--  Last modified : Nov 15th 2011                                            --
--  Changes made  : None                                                     --
--                                                                           --
-------------------------------------------------------------------------------
--                                                                           --
--  Notes:                                                                   --
--  ======                                                                   --
--  This BSDL file represents TMS320C5535 silicon revision 2.0 only          --
--  COMPLIANCE_PATTERNS shall be met for boundary scan test.                 --
--                                                                           --
--  Initialization Requirements for Boundary Scan Test                       --
--  ---------------------------------------------------------------------------
--  The C5535/34/33/32 uses the JTAG port for boundary scan test,            --
--  emulation capability and factory test purposes.                          --
--  When TRST is driven low and then high, the state of the EMU0 pin         --
--  is latched and used to connect the JTAG pins to either the               --
--  Boundary-Scan TAP (when the latched value of EMU0 = 0) or to the         --
--  DSP Emulation TAP (when the latched value of EMU0 = 1). Once the TRST    --
--  is high, EMU0 is used as an IPU interrupt to or from the emulator system --
--  and is defined as input/output by way of the emulator logic.             -- 
-------------------------------------------------------------------------------
--                                                                           --
--  Device Pins not testable by Boundary Scan                                --
--  ---------------------------------------------------------------------------
--                                                                           --
--  The following pins cannot be tested through boundary scan:               --
--  emu1, tck, tdo, trst, emu0, tdi, tms, rsv1, rsv2, usb_vbus, usb_dm,      --
--  usb_dp, usb_r1, usb_mxi, usb_mxo, usb_ldoo, ldoi, dsp_ldoo,              --
--  rtc_clkout, gpain0, dsp_ldo_en, rsv6, rsv3, gpain3, rsv0, rsv5,          --
--  rsv4, rtc_xi, gpain2, bg_cap, rtc_xo, gpain1, ana_ldoo                   --
--                                                                           --
-------------------------------------------------------------------------------
--                                                                           --
--***************************************************************************--
--*                           C A U T I O N                                 *--
--*                                                                         *--
--*     This BSDL file has been checked for correct syntax and semantics    *--
--*  using several commercial tools. Validation of this file against the    *--
--*  device is in progress.  Without complete validation many structural    *--
--*  errors could be present, leading to possible damage of the device when *--
--*  using its boundary scan logic.                                         *--
--*                                                                         *--
--***************************************************************************--

 entity TMS320C5535 is 

    generic(PHYSICAL_PIN_MAP : string := "ZHH"); 

    port (
              ana_ldoo                            : linkage      bit;
              bg_cap                              : linkage      bit;
              dsp_ldo_en                          : linkage      bit;
              rsv6                                : linkage      bit;
              dsp_ldoo                            : linkage      bit;
              rsv3                                : linkage      bit;
              rsv0                                : linkage      bit;
              rsv5                                : in           bit;
              rsv4                                : in           bit;
              usb_ldoo                            : linkage      bit;
              rsv12                               : linkage      bit;
              rsv9                                : linkage      bit;
              rsv8                                : linkage      bit;
              rsv7                                : linkage      bit;
              rsv11                               : linkage      bit;
              rsv10                               : linkage      bit;
              clkout                              : out          bit;
              emu0                                : in           bit;  
              emu1                                : in           bit;
              scl                                 : inout        bit;
              sda                                 : inout        bit;
              lcd_en_rdb_spi_clk                  : out          bit;
              lcd_rs_spi_cs3                      : inout        bit;
              lcd_rw_wrb_spi_cs2                  : inout        bit;
              lcd_cs1_e1_spi_cs1                  : inout        bit;
              lcd_cs0_e0_spi_cs0                  : inout        bit;
              lcdd15_uarttxd_gp31_i2s3_dx         : inout        bit;
              lcdd14_uartrxd_gp30_i2s3_rx         : inout        bit;
              lcdd13_uartcts_gp29_i2s3_fs         : inout        bit;
              lcdd12_uartrts_gp28_i2s3_clk        : inout        bit;
              lcdd11_i2s2_dx_gp27_spi_tx          : inout        bit;
              lcdd10_i2s2_rx_gp20_spi_rx          : inout        bit;
              lcdd9_i2s2_fs_gp19_spi_cs0          : inout        bit;
              lcdd8_i2s2_clk_gp18_spi_clk         : inout        bit;
              lcdd7_gp17                          : inout        bit;
              lcdd6_gp16                          : inout        bit;
              lcdd5_gp15                          : inout        bit;
              lcdd4_gp14                          : inout        bit;
              lcdd3_gp13                          : inout        bit;
              lcdd2_gp12                          : inout        bit;
              lcdd1_spi_tx                        : inout        bit;
              lcdd0_spi_rx                        : inout        bit;
              rtc_clkout                          : linkage      bit;
              sd0_d3_gp5                          : inout        bit;
              sd0_d2_gp4                          : inout        bit;
              sd0_d1_i2s0_rx_gp3                  : inout        bit;
              sd0_d0_i2s0_dx_gp2                  : inout        bit;
              sd0_cmd_i2s0_fs_gp1                 : inout        bit;
              sd0_clk_i2s0_clk_gp0                : inout        bit;
              sd1_d3_gp11                         : inout        bit;
              sd1_d2_gp10                         : inout        bit;
              sd1_d1_i2s1_rx_gp9                  : inout        bit;
              sd1_d0_i2s1_dx_gp8                  : inout        bit;
              sd1_cmd_i2s1_fs_gp7                 : inout        bit;
              sd1_clk_i2s1_clk_gp6                : inout        bit;
              usb_mxo                             : linkage      bit;
              wakeup                              : linkage      bit;
              xf                                  : out          bit;
              clkin                               : in           bit;
              clk_sel                             : in           bit;
              int0                                : in           bit;
              int1                                : in           bit;
              reset                               : in           bit;
              rtc_xi                              : linkage      bit;
              usb_mxi                             : linkage      bit;
              tdi                                 : in           bit;
              tms                                 : in           bit;
              tck                                 : in           bit;
              tdo                                 : out          bit;
              trst                                : in           bit;
              usb_vbus                            : linkage      bit;
              usb_dp                              : linkage      bit;
              usb_dm                              : linkage      bit;
              usb_r1                              : linkage      bit;
              gpain3                              : linkage      bit;
              gpain2                              : linkage      bit;
              gpain1                              : linkage      bit;
              gpain0                              : linkage      bit;
              dvddio                              : linkage      bit_vector(1 to 7);
              cvdd                                : linkage      bit_vector(1 to 10);
              vss                                 : linkage      bit_vector(1 to 21);
              rsv1                                : linkage      bit;
              rsv2                                : linkage      bit;
              usb_vdd1p3                          : linkage      bit_vector(1 to 3);
              usb_vssa1p3                         : linkage      bit;
              usb_vdda1p3                         : linkage      bit;
              usb_vssa3p3                         : linkage      bit;
              usb_vdda3p3                         : linkage      bit;
              usb_vss1p3                          : linkage      bit;
              usb_vddpll                          : linkage      bit;
              usb_vssref                          : linkage      bit;
              usb_vsspll                          : linkage      bit;
              usb_vddosc                          : linkage      bit;
              dvddrtc                             : linkage      bit;
              usb_vssosc                          : linkage      bit;
              ldoi                                : linkage      bit_vector(1 to 3);
              vssa_pll                            : linkage      bit;
              cvddrtc                             : linkage      bit_vector(1 to 2);
              vssrtc                              : linkage      bit;
              vdda_pll                            : linkage      bit;
              vssa_ana                            : linkage      bit_vector(1 to 2);
              rtc_xo                              : linkage      bit;
              vdda_ana                            : linkage      bit
         ); 

    use STD_1149_1_2001.all; -- Get IEEE 1149.1-2001 attributes and definitions 
    
    use USER_PACKAGE.all; ----- Get custom boundary scan cell definition
    
    attribute COMPONENT_CONFORMANCE of TMS320C5535 : entity is "STD_1149_1_2001";
    
    attribute PIN_MAP of TMS320C5535 : entity is PHYSICAL_PIN_MAP; 

    constant ZHH : PIN_MAP_STRING := 
       "ana_ldoo                                  : B9," & 
       "bg_cap                                    : C10," & 
       "dsp_ldo_en                                : C13," & 
       "rsv6                                      : B13," & 
       "dsp_ldoo                                  : A13," & 
       "rsv3                                      : B12," & 
       "rsv0                                      : A12," & 
       "rsv5                                      : B11," & 
       "rsv4                                      : A11," & 
       "usb_ldoo                                  : D13," & 
       "rsv12                                     : G2," & 
       "rsv9                                      : G1," & 
       "rsv8                                      : F1," & 
       "rsv7                                      : E1," & 
       "rsv11                                     : E2," & 
       "rsv10                                     : H1," & 
       "clkout                                    : A2," & 
       "emu0                                      : L2," & 
       "emu1                                      : M1," & 
       "scl                                       : C4," & 
       "sda                                       : A4," & 
       "lcd_en_rdb_spi_clk                        : L3," & 
       "lcd_rs_spi_cs3                            : M5," & 
       "lcd_rw_wrb_spi_cs2                        : N2," & 
       "lcd_cs1_e1_spi_cs1                        : M2," & 
       "lcd_cs0_e0_spi_cs0                        : L1," & 
       "lcdd15_uarttxd_gp31_i2s3_dx               : M11," & 
       "lcdd14_uartrxd_gp30_i2s3_rx               : P13," & 
       "lcdd13_uartcts_gp29_i2s3_fs               : P12," & 
       "lcdd12_uartrts_gp28_i2s3_clk              : N12," & 
       "lcdd11_i2s2_dx_gp27_spi_tx                : P11," & 
       "lcdd10_i2s2_rx_gp20_spi_rx                : P9," & 
       "lcdd9_i2s2_fs_gp19_spi_cs0                : N10," & 
       "lcdd8_i2s2_clk_gp18_spi_clk               : P5," & 
       "lcdd7_gp17                                : P8," & 
       "lcdd6_gp16                                : P3," & 
       "lcdd5_gp15                                : N7," & 
       "lcdd4_gp14                                : P2," & 
       "lcdd3_gp13                                : N5," & 
       "lcdd2_gp12                                : J2," & 
       "lcdd1_spi_tx                              : K1," & 
       "lcdd0_spi_rx                              : N4," & 
       "rtc_clkout                                : A3," & 
       "sd0_d3_gp5                                : P7," & 
       "sd0_d2_gp4                                : N13," & 
       "sd0_d1_i2s0_rx_gp3                        : P6," & 
       "sd0_d0_i2s0_dx_gp2                        : J1," & 
       "sd0_cmd_i2s0_fs_gp1                       : M10," & 
       "sd0_clk_i2s0_clk_gp0                      : M8," & 
       "sd1_d3_gp11                               : M12," & 
       "sd1_d2_gp10                               : L12," & 
       "sd1_d1_i2s1_rx_gp9                        : P10," & 
       "sd1_d0_i2s1_dx_gp8                        : M13," & 
       "sd1_cmd_i2s1_fs_gp7                       : L11," & 
       "sd1_clk_i2s1_clk_gp6                      : M14," & 
       "usb_mxo                                   : D14," & 
       "wakeup                                    : A5," & 
       "xf                                        : J3," & 
       "clkin                                     : C1," & 
       "clk_sel                                   : D1," & 
       "int0                                      : C2," & 
       "int1                                      : B1," & 
       "reset                                     : D2," & 
       "rtc_xi                                    : A7," & 
       "rtc_xo                                    : A6," & 
       "usb_mxi                                   : E14," & 
       "tdi                                       : K2," & 
       "tms                                       : N6," & 
       "tck                                       : N3," & 
       "tdo                                       : N1," & 
       "trst                                      : P4," & 
       "usb_vbus                                  : L14," & 
       "usb_dp                                    : H14," & 
       "usb_dm                                    : J14," & 
       "usb_r1                                    : G14," & 
       "gpain3                                    : A10," & 
       "gpain2                                    : A9," & 
       "gpain1                                    : B8," & 
       "gpain0                                    : A8," &
       "dvddio                                    : (C6,L4,M3,M4,N8,N11,N14)," &
       "cvdd                                      : (C11,D3,D11,F2,G3,H2,K11,M6,M9,N9)," &
       "vss                                       : (A14,B2,B3,C8,C12,D4,D5,D10," &
       "                                             E3,E4,E11,F3,H3,J13,K3,K4,L5,L10," &
       "                                             M7,P1,P14)," &
       "rsv1                                      : K12," &
       "rsv2                                      : L13," &
       "usb_vdd1p3                                : (E12,F14,K13)," &
       "usb_vssa1p3                               : J12," &
       "usb_vdda1p3                               : H12," &
       "usb_vssa3p3                               : H13," &
       "usb_vdda3p3                               : G12," &
       "usb_vss1p3                                : K14," &
       "usb_vddpll                                : G13," &
       "usb_vssref                                : F12," &
       "usb_vsspll                                : F13," &
       "usb_vddosc                                : E13," &
       "dvddrtc                                   : C3," &
       "usb_vssosc                                : D12," &
       "ldoi                                      : (B10,B14,C14)," &
       "vssa_pll                                  : A1," &
       "cvddrtc                                   : (B4,B5)," &
       "vssrtc                                    : C5," &
       "vdda_pll                                  : C7," &
       "vssa_ana                                  : (B6,C9)," &
       "vdda_ana                                  : B7" ;

    attribute TAP_SCAN_IN of tdi : signal is true; 
    attribute TAP_SCAN_MODE of tms : signal is true; 
    attribute TAP_SCAN_OUT of tdo : signal is true; 
    attribute TAP_SCAN_CLOCK of tck : signal is (5.00000000e+06, BOTH); 
    attribute TAP_SCAN_RESET of trst : signal is true; 
    
    attribute COMPLIANCE_PATTERNS  of TMS320C5535 : entity is 
       "(rsv4," &
       "rsv5," & 
       "emu1," & 
       "emu0)" & 
       "(0010)";
   
    attribute INSTRUCTION_LENGTH of TMS320C5535 : entity is 4;
    attribute INSTRUCTION_OPCODE of TMS320C5535 : entity is 
        "extest (0000),"  & 
        "bypass (1111),"  & 
        "sample (0001),"  & 
        "preload (0001),"  & 
        "highz (0010),"  & 
        "idcode (0011),"  & 
        "ctmcr_misc_scan (0100),"  & 
        "ctmcr_atpg_scan (0101),"  & 
        "ctmcr_trim_scan (0110),"  & 
        "ctmcr_ios_scan (0111),"  & 
        "ctmcr_ramtest_scan (1000),"  & 
        "ctmcr_efuse_scan (1001),"  & 
        "ctmcr_pll_scan (1010)"; 
   
    attribute INSTRUCTION_CAPTURE of TMS320C5535 : entity is "0101";
    
    attribute IDCODE_REGISTER of TMS320C5535 : entity is 
        "0001"  &                -- Version Number 
        "1011100011111110"  &    -- Part Number 
        "00000010111"  &         -- Manufacturer ID 
        "1";                     -- Required by IEEE Std. 1149.1-1990         

    attribute REGISTER_ACCESS of TMS320C5535 : entity is 
        "BOUNDARY (extest, sample, preload), " & 
        "DEVICE_ID (idcode), " & 
        "BYPASS (bypass, highz), " & 
        "CTMCR_MISC[18] (ctmcr_misc_scan), " & 
        "CTMCR_ATPG[10] (ctmcr_atpg_scan), " & 
        "CTMCR_TRIM[25] (ctmcr_trim_scan), " & 
        "CTMCR_IOS[14] (ctmcr_ios_scan), " & 
        "CTMCR_RAMTEST[23] (ctmcr_ramtest_scan), " & 
        "CTMCR_EFUSE[7] (ctmcr_efuse_scan), " & 
        "CTMCR_PLL[58] (ctmcr_pll_scan)"; 
    
    attribute BOUNDARY_LENGTH of TMS320C5535 : entity is 197;
    attribute BOUNDARY_REGISTER of TMS320C5535 : entity is 
      --- num            cell                             port       function   safe  [ccell  disval  rslt]
       "0    (           bc_7,                       lcdd3_gp13,        bidir,     X,      1,      1,    Z)," & 
       "1    (           bc_2,                                *,      control,     1)," & 
       "2    (           bc_7,                       lcdd2_gp12,        bidir,     X,      3,      1,    Z)," & 
       "3    (           bc_2,                                *,      control,     1)," & 
       "4    (           bc_1,                               xf,      output3,     X,      5,      1,    Z)," & 
       "5    (           bc_1,                                *,      control,     1)," & 
       "6    (           bc_7,                       lcdd4_gp14,        bidir,     X,      7,      1,    Z)," & 
       "7    (           bc_2,                                *,      control,     1)," & 
       "8    (           bc_7,               sd0_d0_i2s0_dx_gp2,        bidir,     X,      9,      1,    Z)," & 
       "9    (           bc_2,                                *,      control,     1)," & 
       "10   (           bc_7,                       lcdd6_gp16,        bidir,     X,     11,      1,    Z)," & 
       "11   (           bc_2,                                *,      control,     1)," & 
       "12   (           bc_7,                       lcdd5_gp15,        bidir,     X,     13,      1,    Z)," & 
       "13   (           bc_2,                                *,      control,     1)," & 
       "14   (           bc_7,             sd0_clk_i2s0_clk_gp0,        bidir,     X,     15,      1,    Z)," & 
       "15   (           bc_2,                                *,      control,     1)," & 
       "16   (           bc_7,               sd0_d1_i2s0_rx_gp3,        bidir,     X,     17,      1,    Z)," & 
       "17   (           bc_2,                                *,      control,     1)," & 
       "18   (           bc_7,      lcdd8_i2s2_clk_gp18_spi_clk,        bidir,     X,     19,      1,    Z)," & 
       "19   (           bc_2,                                *,      control,     1)," & 
       "20   (           bc_7,                       lcdd7_gp17,        bidir,     X,     21,      1,    Z)," & 
       "21   (           bc_2,                                *,      control,     1)," & 
       "22   (           bc_7,                       sd0_d3_gp5,        bidir,     X,     23,      1,    Z)," & 
       "23   (           bc_2,                                *,      control,     1)," & 
       "24   (           bc_7,              sd0_cmd_i2s0_fs_gp1,        bidir,     X,     25,      1,    Z)," & 
       "25   (           bc_2,                                *,      control,     1)," & 
       "26   (           bc_7,       lcdd10_i2s2_rx_gp20_spi_rx,        bidir,     X,     27,      1,    Z)," & 
       "27   (           bc_2,                                *,      control,     1)," & 
       "28   (           bc_7,       lcdd9_i2s2_fs_gp19_spi_cs0,        bidir,     X,     29,      1,    Z)," & 
       "29   (           bc_2,                                *,      control,     1)," & 
       "30   (           bc_7,               sd1_d1_i2s1_rx_gp9,        bidir,     X,     31,      1,    Z)," & 
       "31   (           bc_2,                                *,      control,     1)," & 
       "32   (           bc_7,     lcdd12_uartrts_gp28_i2s3_clk,        bidir,     X,     33,      1,    Z)," & 
       "33   (           bc_2,                                *,      control,     1)," & 
       "34   (           bc_7,       lcdd11_i2s2_dx_gp27_spi_tx,        bidir,     X,     35,      1,    Z)," & 
       "35   (           bc_2,                                *,      control,     1)," & 
       "36   (           bc_7,      lcdd14_uartrxd_gp30_i2s3_rx,        bidir,     X,     37,      1,    Z)," & 
       "37   (           bc_2,                                *,      control,     1)," & 
       "38   (           bc_7,      lcdd13_uartcts_gp29_i2s3_fs,        bidir,     X,     39,      1,    Z)," & 
       "39   (           bc_2,                                *,      control,     1)," & 
       "40   (           bc_7,      lcdd15_uarttxd_gp31_i2s3_dx,        bidir,     X,     41,      1,    Z)," & 
       "41   (           bc_2,                                *,      control,     1)," & 
       "42   (           bc_7,             sd1_clk_i2s1_clk_gp6,        bidir,     X,     43,      1,    Z)," & 
       "43   (           bc_2,                                *,      control,     1)," & 
       "44   (           bc_7,               sd1_d0_i2s1_dx_gp8,        bidir,     X,     45,      1,    Z)," & 
       "45   (           bc_2,                                *,      control,     1)," & 
       "46   (           bc_7,                       sd0_d2_gp4,        bidir,     X,     47,      1,    Z)," & 
       "47   (           bc_2,                                *,      control,     1)," & 
       "48   (           bc_7,                      sd1_d3_gp11,        bidir,     X,     49,      1,    Z)," & 
       "49   (           bc_2,                                *,      control,     1)," & 
       "50   (           bc_7,              sd1_cmd_i2s1_fs_gp7,        bidir,     X,     51,      1,    Z)," & 
       "51   (           bc_2,                                *,      control,     1)," & 
       "52   (           bc_7,                      sd1_d2_gp10,        bidir,     X,     53,      1,    Z)," & 
       "53   (           bc_2,                                *,      control,     1)," & 
       "54   (           bc_8,                              sda,        bidir,     1,     54,      1,    WEAK1)," & 
       "55   (           BC_4,                            clkin,        input,     X)," & 
       "56   (           BC_1,                             int1,        input,     X)," & 
       "57   (           BC_1,                          clk_sel,        input,     X)," & 
       "58   (           bc_8,                              scl,        bidir,     1,     58,      1,    WEAK1)," & 
       "59   (           bc_1,                           clkout,      output3,     X,     60,      1,    Z)," & 
       "60   (           bc_1,                                *,      control,     1)," & 
       "61   (           BC_1,                            reset,        input,     X)," & 
       "62   (           BC_1,                             int0,        input,     X)," & 
       "63   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "64   (           bc_2,                                *,     internal,     X)," & 
       "65   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "66   (           bc_2,                                *,     internal,     X)," & 
       "67   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "68   (           bc_2,                                *,     internal,     X)," & 
       "69   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "70   (           bc_2,                                *,     internal,     X)," & 
       "71   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "72   (           bc_2,                                *,     internal,     X)," & 
       "73   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "74   (           bc_2,                                *,     internal,     X)," & 
       "75   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "76   (           bc_2,                                *,     internal,     X)," & 
       "77   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "78   (           bc_2,                                *,     internal,     X)," & 
       "79   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "80   (           bc_2,                                *,     internal,     X)," & 
       "81   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "82   (           bc_2,                                *,     internal,     X)," & 
       "83   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "84   (           bc_2,                                *,     internal,     X)," & 
       "85   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "86   (           bc_2,                                *,     internal,     X)," & 
       "87   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "88   (           bc_2,                                *,     internal,     X)," & 
       "89   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "90   (           bc_2,                                *,     internal,     X)," & 
       "91   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "92   (           bc_2,                                *,     internal,     X)," & 
       "93   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "94   (           bc_2,                                *,     internal,     X)," & 
       "95   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "96   (           bc_2,                                *,     internal,     X)," & 
       "97   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "98   (           bc_2,                                *,     internal,     X)," & 
       "99   (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "100  (           bc_2,                                *,     internal,     X)," & 
       "101  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "102  (           bc_2,                                *,     internal,     X)," & 
       "103  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "104  (           bc_2,                                *,     internal,     X)," & 
       "105  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "106  (           bc_2,                                *,     internal,     X)," & 
       "107  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "108  (           bc_2,                                *,     internal,     X)," & 
       "109  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "110  (           bc_2,                                *,     internal,     X)," & 
       "111  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "112  (           bc_2,                                *,     internal,     X)," & 
       "113  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "114  (           bc_2,                                *,     internal,     X)," & 
       "115  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "116  (           bc_2,                                *,     internal,     X)," & 
       "117  (          TICUSTOM_BC_TIEX ,                    *,     internal,     X)," & 
       "118  (           bc_2,                                *,     internal,     X)," & 
       "119  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "120  (           bc_2,                                *,     internal,     X)," & 
       "121  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "122  (           bc_2,                                *,     internal,     X)," & 
       "123  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "124  (           bc_2,                                *,     internal,     X)," & 
       "125  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "126  (           bc_2,                                *,     internal,     X)," & 
       "127  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "128  (           bc_2,                                *,     internal,     X)," & 
       "129  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "130  (           bc_2,                                *,     internal,     X)," & 
       "131  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "132  (           bc_2,                                *,     internal,     X)," & 
       "133  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "134  (           bc_2,                                *,     internal,     X)," & 
       "135  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "136  (           bc_2,                                *,     internal,     X)," & 
       "137  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "138  (           bc_2,                                *,     internal,     X)," & 
       "139  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "140  (           bc_2,                                *,     internal,     X)," & 
       "141  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "142  (           bc_2,                                *,     internal,     X)," & 
       "143  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "144  (           bc_2,                                *,     internal,     X)," & 
       "145  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "146  (           bc_2,                                *,     internal,     X)," & 
       "147  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "148  (           bc_2,                                *,     internal,     X)," & 
       "149  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "150  (           bc_2,                                *,     internal,     X)," & 
       "151  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "152  (           bc_2,                                *,     internal,     X)," & 
       "153  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "154  (           bc_2,                                *,     internal,     X)," & 
       "155  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "156  (           bc_2,                                *,     internal,     X)," & 
       "157  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "158  (           bc_2,                                *,     internal,     X)," & 
       "159  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "160  (           bc_2,                                *,     internal,     X)," & 
       "161  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "162  (           bc_2,                                *,     internal,     X)," & 
       "163  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "164  (           bc_2,                                *,     internal,     X)," & 
       "165  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "166  (           bc_2,                                *,     internal,     X)," & 
       "167  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "168  (           bc_2,                                *,     internal,     X)," & 
       "169  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "170  (           bc_2,                                *,     internal,     X)," & 
       "171  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "172  (           bc_2,                                *,     internal,     X)," & 
       "173  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "174  (           bc_2,                                *,     internal,     X)," & 
       "175  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "176  (           bc_2,                                *,     internal,     X)," & 
       "177  (           TICUSTOM_BC_TIEX,                    *,     internal,     X)," & 
       "178  (           bc_2,                                *,     internal,     X)," & 
       "179  (           bc_1,               lcd_en_rdb_spi_clk,      output3,     X,     180,     1,    Z)," & 
       "180  (           bc_1,                                *,      control,     1)," & 
       "181  (           bc_7,               lcd_cs1_e1_spi_cs1,        bidir,     X,     182,     1,    Z)," & 
       "182  (           bc_2,                                *,      control,     1)," & 
       "183  (           bc_7,               lcd_cs0_e0_spi_cs0,        bidir,     X,     184,     1,    Z)," & 
       "184  (           bc_2,                                *,      control,     1)," & 
       "185  (           bc_1,                                *,     internal,     1)," & 
       "186  (           bc_2,                                *,     internal,     1)," & 
       "187  (           bc_7,                   lcd_rs_spi_cs3,        bidir,     X,     188,     1,    Z)," & 
       "188  (           bc_2,                                *,      control,     1)," &
       "189  (           bc_7,               lcd_rw_wrb_spi_cs2,        bidir,     X,     190,     1,    Z)," & 
       "190  (           bc_2,                                *,      control,     1)," & 
       "191  (           bc_1,                                *,     internal,     1)," & 
       "192  (           bc_2,                                *,     internal,     1)," & 
       "193  (           bc_7,                     lcdd1_spi_tx,        bidir,     X,     194,     1,    Z)," & 
       "194  (           bc_2,                                *,      control,     1)," & 
       "195  (           bc_7,                     lcdd0_spi_rx,        bidir,     X,     196,     1,    Z)," & 
       "196  (           bc_2,                                *,      control,     1)";

 end TMS320C5535;