BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XC5VLX30T_FF323

--$ XILINX$RCSfile: xc5vlx30t_ff323.bsd,v $
--$ XILINX$Revision: 1.2 $
--
-- BSDL file for device XC5VLX30T, package FF323
-- Xilinx, Inc. $State: PRELIMINARY $ $Date: 2007-12-19 15:28:56-08 $
-- Generated by bsdlnet Version 1.32
------------------------------------------------------------------------
-- Modification History
-- | Generated on 12/02/06
-- | CR # N/A
-- | Details -  Initial Release
------------------------------------------------------------------------
-- | Generated on 01/30/06
-- | CR # N/A
-- | Details -  Corrected family and idcodes.
------------------------------------------------------------------------
-- | Generated on 05/25/06
-- | CR # N/A
-- | Details -  Updated MGTVREF pin to a NO CONNECT.
------------------------------------------------------------------------
-- | Generated on 06/30/06
-- | CR # N/A
-- | Details -  Changed all AC_1 pins to AC_2.
-- |            Converted INTEST to INTEST_RSVD.
------------------------------------------------------------------------
-- | Generated on 08/18/06
-- | CR # N/A
-- | Details -  Changed all MGTTX* pins from linkage to buffer.
-- |            Added MGTTX* to diff pins section.
-- |            Added AIO section.
------------------------------------------------------------------------
-- | Generated on 08/30/06
-- | CR # N/A
-- | Details -  Changed text re: IOB input levels for boundary scan.
-- |		Converted AC_2 to BC_2 for unbonded case.
------------------------------------------------------------------------
-- | Generated on 10/27/06
-- | CR # N/A
-- | Details -  Added fxt & lx220t devices, also updated bit stream size
-- |		values for most devices (applies to 1532 type only).
------------------------------------------------------------------------
-- | Generated on 12/13/06
-- | CR # N/A
-- | Details -  Changed AIO section to reflect pre-configured die.
------------------------------------------------------------------------
-- | Generated on 02/22/07
-- | CR # N/A
-- | Details -  Added back fuse instructions.
------------------------------------------------------------------------
-- | Generated on 05/02/07
-- | CR # N/A
-- | Details -  Changed to 1149.1 since 1149.6 not supported for V5.
------------------------------------------------------------------------
-- | Generated on 08/14/07
-- | CR # 440168
-- | Details -  Changed ISC_SECURITY names and removed attribute;
-- |		1) Changed ISC_SECURITY_DATA to ISC_KEY_DATA
-- |		2) Changed ISC_PROGRAM_SECURITY to ISC_PROGRAM_KEY
-- |		3) Removed ISC_SECURITY attribute definition (6 lines)
------------------------------------------------------------------------
-- | Generated on 12/14/07
-- | CR # N/A
-- | Details -  Changed MGTTX/RX pins to linkage bits and corresponding
-- |            BC_4 to BC_1 internal.
------------------------------------------------------------------------
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- or contact our technical support at:
--
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	+44 870 7350 610	eurosupport@xilinx.com
--	France		(33) 1 3463 0100	eurosupport@xilinx.com
--	Germany		(49) 89 991 54930	eurosupport@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- To prevent losing the current configuration, the boundary scan
-- test vectors should keep the PROG_B pin high.
--
-- PROG_B can only be captured, not updated.  The value
-- at the pin is always used by the device.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an CMOS
-- input buffer. In order to properly capture a logic high value at one
-- of these IOBs into its input boundary scan cell, please refer to the
-- datasheet and user guide for proper input levels.
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- The disable result of a 3-stated I/O in this file correspond
-- to HSWAP_EN being high.  If HSWAP_EN is low, every PULL0 should
-- be changed to PULL1.

----------------------------------

-- BSDL File for P1149.1 Standard.

----------------------------------

entity XC5VLX30T_FF323 is

-- Generic Parameter

generic (PHYSICAL_PIN_MAP : string := "FF323" );

-- Logical Port Description

port (
	AVDD_G10: linkage bit;
	AVSS_G9: linkage bit;
	CCLK_E10: inout bit;
	CS_B_A4: in bit;
	DONE_F9: inout bit;
	DOUT_BUSY_N3: out bit;
	D_IN_F6: in bit;
	GND: linkage bit_vector (1 to 60);
	HSWAP_EN_F11: in bit;
	INIT_B_B5: inout bit;
	M0_G6: in bit;
	M1_H5: in bit;
	M2_M9: in bit;
	MGTAVCCPLL_112: linkage bit;
	MGTAVCCPLL_114: linkage bit;
	MGTAVCC_112: linkage bit;
	MGTAVCC_114: linkage bit;
	MGTAVTTRXC: linkage bit;
	MGTAVTTRX_112: linkage bit;
	MGTAVTTRX_114: linkage bit;
	MGTAVTTTX_112: linkage bit;
	MGTAVTTTX_114: linkage bit;
	MGTREFCLKN_112: linkage bit;
	MGTREFCLKN_114: linkage bit;
	MGTREFCLKP_112: linkage bit;
	MGTREFCLKP_114: linkage bit;
	MGTRREF_112: linkage bit;
	MGTRXN0_112: linkage bit;
	MGTRXN0_114: linkage bit;
	MGTRXN1_112: linkage bit;
	MGTRXN1_114: linkage bit;
	MGTRXP0_112: linkage bit;
	MGTRXP0_114: linkage bit;
	MGTRXP1_112: linkage bit;
	MGTRXP1_114: linkage bit;
	MGTTXN0_112: linkage bit;
	MGTTXN0_114: linkage bit;
	MGTTXN1_112: linkage bit;
	MGTTXN1_114: linkage bit;
	MGTTXP0_112: linkage bit;
	MGTTXP0_114: linkage bit;
	MGTTXP1_112: linkage bit;
	MGTTXP1_114: linkage bit;
	PROG_B: in bit;
	RDWR_B_E6: in bit;
	R_FUSE_L10: linkage bit;
	TCK: in bit;
	TDI: in bit;
	TDN_K9: linkage bit;
	TDO: out bit;
	TDP_K10: linkage bit;
	TMS: in bit;
	VBATT_D5: linkage bit;
	VCCAUX: linkage bit_vector (1 to 5);
	VCCINT: linkage bit_vector (1 to 7);
	VCCO0: linkage bit_vector (1 to 2);
	VCCO1: linkage bit_vector (1 to 3);
	VCCO11: linkage bit_vector (1 to 4);
	VCCO13: linkage bit_vector (1 to 4);
	VCCO17: linkage bit_vector (1 to 4);
	VCCO2: linkage bit_vector (1 to 3);
	VCCO4: linkage bit_vector (1 to 3);
	VFS_L9: linkage bit;
	VN_J9: linkage bit;
	VP_H10: linkage bit;
	VREFN_H9: linkage bit;
	VREFP_J10: linkage bit;
	IO_A6: inout bit; --  PAD182
	IO_A7: inout bit; --  PAD181
	IO_A8: inout bit; --  PAD193
	IO_A9: inout bit; --  PAD194
	IO_A11: inout bit; --  PAD47
	IO_A12: inout bit; --  PAD48
	IO_A13: inout bit; --  PAD52
	IO_A14: inout bit; --  PAD56
	IO_A16: inout bit; --  PAD62
	IO_A17: inout bit; --  PAD66
	IO_A18: inout bit; --  PAD65
	IO_B6: inout bit; --  PAD191
	IO_B8: inout bit; --  PAD190
	IO_B9: inout bit; --  PAD189
	IO_B10: inout bit; --  PAD197
	IO_B11: inout bit; --  PAD79
	IO_B13: inout bit; --  PAD51
	IO_B14: inout bit; --  PAD55
	IO_B15: inout bit; --  PAD60
	IO_B16: inout bit; --  PAD61
	IO_B18: inout bit; --  PAD74
	IO_C6: inout bit; --  PAD192
	IO_C7: inout bit; --  PAD188
	IO_C8: inout bit; --  PAD187
	IO_C10: inout bit; --  PAD198
	IO_C11: inout bit; --  PAD80
	IO_C12: inout bit; --  PAD49
	IO_C13: inout bit; --  PAD50
	IO_C15: inout bit; --  PAD59
	IO_C16: inout bit; --  PAD70
	IO_C17: inout bit; --  PAD69
	IO_C18: inout bit; --  PAD73
	IO_D7: inout bit; --  PAD195
	IO_D8: inout bit; --  PAD184
	IO_D9: inout bit; --  PAD186
	IO_D10: inout bit; --  PAD185
	IO_D12: inout bit; --  PAD71
	IO_D13: inout bit; --  PAD41
	IO_D14: inout bit; --  PAD42
	IO_D15: inout bit; --  PAD44
	IO_D17: inout bit; --  PAD78
	IO_D18: inout bit; --  PAD77
	IO_E7: inout bit; --  PAD196
	IO_E9: inout bit; --  PAD183
	IO_E12: inout bit; --  PAD72
	IO_E14: inout bit; --  PAD45
	IO_E15: inout bit; --  PAD43
	IO_E16: inout bit; --  PAD68
	IO_E17: inout bit; --  PAD67
	IO_F7: inout bit; --  PAD200
	IO_F8: inout bit; --  PAD199
	IO_F13: inout bit; --  PAD58
	IO_F14: inout bit; --  PAD46
	IO_F16: inout bit; --  PAD63
	IO_F17: inout bit; --  PAD76
	IO_F18: inout bit; --  PAD75
	IO_G13: inout bit; --  PAD57
	IO_G14: inout bit; --  PAD53
	IO_G15: inout bit; --  PAD54
	IO_G16: inout bit; --  PAD64
	IO_G18: inout bit; --  PAD84
	IO_H13: inout bit; --  PAD81
	IO_H15: inout bit; --  PAD93
	IO_H16: inout bit; --  PAD94
	IO_H17: inout bit; --  PAD83
	IO_H18: inout bit; --  PAD87
	IO_J14: inout bit; --  PAD82
	IO_J15: inout bit; --  PAD85
	IO_J17: inout bit; --  PAD91
	IO_J18: inout bit; --  PAD88
	IO_K12: inout bit; --  PAD89
	IO_K14: inout bit; --  PAD108
	IO_K15: inout bit; --  PAD86
	IO_K16: inout bit; --  PAD99
	IO_K17: inout bit; --  PAD92
	IO_L12: inout bit; --  PAD90
	IO_L13: inout bit; --  PAD104
	IO_L14: inout bit; --  PAD107
	IO_L16: inout bit; --  PAD100
	IO_L17: inout bit; --  PAD96
	IO_L18: inout bit; --  PAD95
	IO_M8: inout bit; --  PAD236
	IO_M10: inout bit; --  PAD129
	IO_M11: inout bit; --  PAD98
	IO_M13: inout bit; --  PAD112
	IO_M14: inout bit; --  PAD103
	IO_M15: inout bit; --  PAD120
	IO_M16: inout bit; --  PAD118
	IO_M18: inout bit; --  PAD102
	IO_N6: inout bit; --  PAD224
	IO_N7: inout bit; --  PAD223
	IO_N8: inout bit; --  PAD235
	IO_N10: inout bit; --  PAD138
	IO_N11: inout bit; --  PAD130
	IO_N12: inout bit; --  PAD97
	IO_N13: inout bit; --  PAD111
	IO_N15: inout bit; --  PAD119
	IO_N16: inout bit; --  PAD117
	IO_N17: inout bit; --  PAD106
	IO_N18: inout bit; --  PAD101
	IO_P2: inout bit; --  PAD205
	IO_P3: inout bit; --  PAD206
	IO_P4: inout bit; --  PAD213
	IO_P5: inout bit; --  PAD217
	IO_P7: inout bit; --  PAD240
	IO_P8: inout bit; --  PAD239
	IO_P9: inout bit; --  PAD222
	IO_P10: inout bit; --  PAD137
	IO_P12: inout bit; --  PAD155
	IO_P13: inout bit; --  PAD156
	IO_P14: inout bit; --  PAD115
	IO_P15: inout bit; --  PAD116
	IO_P17: inout bit; --  PAD110
	IO_P18: inout bit; --  PAD105
	IO_R1: inout bit; --  PAD201
	IO_R2: inout bit; --  PAD209
	IO_R4: inout bit; --  PAD214
	IO_R5: inout bit; --  PAD218
	IO_R6: inout bit; --  PAD228
	IO_R7: inout bit; --  PAD238
	IO_R9: inout bit; --  PAD221
	IO_R10: inout bit; --  PAD143
	IO_R11: inout bit; --  PAD144
	IO_R12: inout bit; --  PAD134
	IO_R14: inout bit; --  PAD149
	IO_R15: inout bit; --  PAD113
	IO_R16: inout bit; --  PAD114
	IO_R17: inout bit; --  PAD109
	IO_T1: inout bit; --  PAD202
	IO_T2: inout bit; --  PAD210
	IO_T3: inout bit; --  PAD220
	IO_T4: inout bit; --  PAD219
	IO_T6: inout bit; --  PAD227
	IO_T7: inout bit; --  PAD237
	IO_T8: inout bit; --  PAD229
	IO_T9: inout bit; --  PAD225
	IO_T11: inout bit; --  PAD147
	IO_T12: inout bit; --  PAD133
	IO_T13: inout bit; --  PAD154
	IO_T14: inout bit; --  PAD150
	IO_T16: inout bit; --  PAD132
	IO_T17: inout bit; --  PAD131
	IO_T18: inout bit; --  PAD135
	IO_U1: inout bit; --  PAD204
	IO_U3: inout bit; --  PAD212
	IO_U4: inout bit; --  PAD211
	IO_U5: inout bit; --  PAD215
	IO_U6: inout bit; --  PAD232
	IO_U8: inout bit; --  PAD230
	IO_U9: inout bit; --  PAD226
	IO_U10: inout bit; --  PAD152
	IO_U11: inout bit; --  PAD148
	IO_U13: inout bit; --  PAD157
	IO_U14: inout bit; --  PAD153
	IO_U15: inout bit; --  PAD140
	IO_U16: inout bit; --  PAD139
	IO_U18: inout bit; --  PAD136
	IO_V1: inout bit; --  PAD203
	IO_V2: inout bit; --  PAD207
	IO_V3: inout bit; --  PAD208
	IO_V5: inout bit; --  PAD216
	IO_V6: inout bit; --  PAD231
	IO_V7: inout bit; --  PAD234
	IO_V8: inout bit; --  PAD233
	IO_V10: inout bit; --  PAD151
	IO_V11: inout bit; --  PAD160
	IO_V12: inout bit; --  PAD159
	IO_V13: inout bit; --  PAD158
	IO_V15: inout bit; --  PAD146
	IO_V16: inout bit; --  PAD145
	IO_V17: inout bit; --  PAD142
	IO_V18: inout bit --  PAD141
); --end port list

-- Use Statements

use STD_1149_1_2001.all;

-- Component Conformance Statement(s)

attribute COMPONENT_CONFORMANCE of XC5VLX30T_FF323 : entity is
	"STD_1149_1_2001";

-- Device Package Pin Mappings

attribute PIN_MAP of XC5VLX30T_FF323 : entity is PHYSICAL_PIN_MAP;

constant FF323: PIN_MAP_STRING:=
	"AVDD_G10:G10," &
	"AVSS_G9:G9," &
	"CCLK_E10:E10," &
	"CS_B_A4:A4," &
	"DONE_F9:F9," &
	"DOUT_BUSY_N3:N3," &
	"D_IN_F6:F6," &
	"GND:(A1,A3,A5,B4,B17,C2,C5,C9,C14,D2," &
		"D4,D6,D11,E3,E5,E18,F1,F4,F10,F12," &
		"F15,G1,G3,G5,G7,G11,G17,H4,H6,H8," &
		"H12,J2,J5,J7,J11,J16,K2,K4,K6,K8," &
		"K13,L3,L5,L7,L11,M1,M4,M12,M17,N2," &
		"N9,N14,P1,P6,R18,T10,T15,U7,U12,V4)," &
	"HSWAP_EN_F11:F11," &
	"INIT_B_B5:B5," &
	"M0_G6:G6," &
	"M1_H5:H5," &
	"M2_M9:M9," &
	"MGTAVCCPLL_112:E4," &
	"MGTAVCCPLL_114:L4," &
	"MGTAVCC_112:D3," &
	"MGTAVCC_114:K3," &
	"MGTAVTTRXC:G4," &
	"MGTAVTTRX_112:B3," &
	"MGTAVTTRX_114:H3," &
	"MGTAVTTTX_112:F3," &
	"MGTAVTTTX_114:M3," &
	"MGTREFCLKN_112:C4," &
	"MGTREFCLKN_114:J4," &
	"MGTREFCLKP_112:C3," &
	"MGTREFCLKP_114:J3," &
	"MGTRREF_112:F5," &
	"MGTRXN0_112:C1," &
	"MGTRXN0_114:J1," &
	"MGTRXN1_112:D1," &
	"MGTRXN1_114:K1," &
	"MGTRXP0_112:B1," &
	"MGTRXP0_114:H1," &
	"MGTRXP1_112:E1," &
	"MGTRXP1_114:L1," &
	"MGTTXN0_112:B2," &
	"MGTTXN0_114:H2," &
	"MGTTXN1_112:E2," &
	"MGTTXN1_114:L2," &
	"MGTTXP0_112:A2," &
	"MGTTXP0_114:G2," &
	"MGTTXP1_112:F2," &
	"MGTTXP1_114:M2," &
	"PROG_B:E11," &
	"RDWR_B_E6:E6," &
	"R_FUSE_L10:L10," &
	"TCK:M6," &
	"TDI:M5," &
	"TDN_K9:K9," &
	"TDO:N5," &
	"TDP_K10:K10," &
	"TMS:N1," &
	"VBATT_D5:D5," &
	"VCCAUX:(G12,J13,K7,L6,L8)," &
	"VCCINT:(G8,H7,H11,J6,J8,J12,K11)," &
	"VCCO0:(K5,N4)," &
	"VCCO1:(A10,B7,E8)," &
	"VCCO11:(A15,B12,D16,E13)," &
	"VCCO13:(H14,K18,L15,P16)," &
	"VCCO17:(P11,R13,U17,V14)," &
	"VCCO2:(R3,T5,U2)," &
	"VCCO4:(M7,R8,V9)," &
	"VFS_L9:L9," &
	"VN_J9:J9," &
	"VP_H10:H10," &
	"VREFN_H9:H9," &
	"VREFP_J10:J10," &
	"IO_A6:A6," &
	"IO_A7:A7," &
	"IO_A8:A8," &
	"IO_A9:A9," &
	"IO_A11:A11," &
	"IO_A12:A12," &
	"IO_A13:A13," &
	"IO_A14:A14," &
	"IO_A16:A16," &
	"IO_A17:A17," &
	"IO_A18:A18," &
	"IO_B6:B6," &
	"IO_B8:B8," &
	"IO_B9:B9," &
	"IO_B10:B10," &
	"IO_B11:B11," &
	"IO_B13:B13," &
	"IO_B14:B14," &
	"IO_B15:B15," &
	"IO_B16:B16," &
	"IO_B18:B18," &
	"IO_C6:C6," &
	"IO_C7:C7," &
	"IO_C8:C8," &
	"IO_C10:C10," &
	"IO_C11:C11," &
	"IO_C12:C12," &
	"IO_C13:C13," &
	"IO_C15:C15," &
	"IO_C16:C16," &
	"IO_C17:C17," &
	"IO_C18:C18," &
	"IO_D7:D7," &
	"IO_D8:D8," &
	"IO_D9:D9," &
	"IO_D10:D10," &
	"IO_D12:D12," &
	"IO_D13:D13," &
	"IO_D14:D14," &
	"IO_D15:D15," &
	"IO_D17:D17," &
	"IO_D18:D18," &
	"IO_E7:E7," &
	"IO_E9:E9," &
	"IO_E12:E12," &
	"IO_E14:E14," &
	"IO_E15:E15," &
	"IO_E16:E16," &
	"IO_E17:E17," &
	"IO_F7:F7," &
	"IO_F8:F8," &
	"IO_F13:F13," &
	"IO_F14:F14," &
	"IO_F16:F16," &
	"IO_F17:F17," &
	"IO_F18:F18," &
	"IO_G13:G13," &
	"IO_G14:G14," &
	"IO_G15:G15," &
	"IO_G16:G16," &
	"IO_G18:G18," &
	"IO_H13:H13," &
	"IO_H15:H15," &
	"IO_H16:H16," &
	"IO_H17:H17," &
	"IO_H18:H18," &
	"IO_J14:J14," &
	"IO_J15:J15," &
	"IO_J17:J17," &
	"IO_J18:J18," &
	"IO_K12:K12," &
	"IO_K14:K14," &
	"IO_K15:K15," &
	"IO_K16:K16," &
	"IO_K17:K17," &
	"IO_L12:L12," &
	"IO_L13:L13," &
	"IO_L14:L14," &
	"IO_L16:L16," &
	"IO_L17:L17," &
	"IO_L18:L18," &
	"IO_M8:M8," &
	"IO_M10:M10," &
	"IO_M11:M11," &
	"IO_M13:M13," &
	"IO_M14:M14," &
	"IO_M15:M15," &
	"IO_M16:M16," &
	"IO_M18:M18," &
	"IO_N6:N6," &
	"IO_N7:N7," &
	"IO_N8:N8," &
	"IO_N10:N10," &
	"IO_N11:N11," &
	"IO_N12:N12," &
	"IO_N13:N13," &
	"IO_N15:N15," &
	"IO_N16:N16," &
	"IO_N17:N17," &
	"IO_N18:N18," &
	"IO_P2:P2," &
	"IO_P3:P3," &
	"IO_P4:P4," &
	"IO_P5:P5," &
	"IO_P7:P7," &
	"IO_P8:P8," &
	"IO_P9:P9," &
	"IO_P10:P10," &
	"IO_P12:P12," &
	"IO_P13:P13," &
	"IO_P14:P14," &
	"IO_P15:P15," &
	"IO_P17:P17," &
	"IO_P18:P18," &
	"IO_R1:R1," &
	"IO_R2:R2," &
	"IO_R4:R4," &
	"IO_R5:R5," &
	"IO_R6:R6," &
	"IO_R7:R7," &
	"IO_R9:R9," &
	"IO_R10:R10," &
	"IO_R11:R11," &
	"IO_R12:R12," &
	"IO_R14:R14," &
	"IO_R15:R15," &
	"IO_R16:R16," &
	"IO_R17:R17," &
	"IO_T1:T1," &
	"IO_T2:T2," &
	"IO_T3:T3," &
	"IO_T4:T4," &
	"IO_T6:T6," &
	"IO_T7:T7," &
	"IO_T8:T8," &
	"IO_T9:T9," &
	"IO_T11:T11," &
	"IO_T12:T12," &
	"IO_T13:T13," &
	"IO_T14:T14," &
	"IO_T16:T16," &
	"IO_T17:T17," &
	"IO_T18:T18," &
	"IO_U1:U1," &
	"IO_U3:U3," &
	"IO_U4:U4," &
	"IO_U5:U5," &
	"IO_U6:U6," &
	"IO_U8:U8," &
	"IO_U9:U9," &
	"IO_U10:U10," &
	"IO_U11:U11," &
	"IO_U13:U13," &
	"IO_U14:U14," &
	"IO_U15:U15," &
	"IO_U16:U16," &
	"IO_U18:U18," &
	"IO_V1:V1," &
	"IO_V2:V2," &
	"IO_V3:V3," &
	"IO_V5:V5," &
	"IO_V6:V6," &
	"IO_V7:V7," &
	"IO_V8:V8," &
	"IO_V10:V10," &
	"IO_V11:V11," &
	"IO_V12:V12," &
	"IO_V13:V13," &
	"IO_V15:V15," &
	"IO_V16:V16," &
	"IO_V17:V17," &
	"IO_V18:V18";


-- Scan Port Identification

attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);

-- Compliance-Enable Description

attribute COMPLIANCE_PATTERNS of XC5VLX30T_FF323 : entity is
        "(PROG_B) (1)";

-- Instruction Register Description

attribute INSTRUCTION_LENGTH of XC5VLX30T_FF323 : entity is 10;

attribute INSTRUCTION_OPCODE of XC5VLX30T_FF323 : entity is
        "EXTEST    (1111000000)," &
        "RESERVED1 (1111111100)," &
        "RESERVED2 (1111111101)," &
        "SAMPLE    (1111000001)," &
        "PRELOAD   (1111000001)," & -- Same as SAMPLE
        "USER1     (1111000010)," & -- Not available until after configuration
        "USER2     (1111000011)," & -- Not available until after configuration
        "USER3     (1111100010)," & -- Not available until after configuration
        "USER4     (1111100011)," & -- Not available until after configuration
        "CFG_OUT   (1111000100)," & -- Not available during configuration with another mode.
        "CFG_IN    (1111000101)," & -- Not available during configuration with another mode.
        "JRSVD     (1111000110)," &
        "INTEST_RSVD    (1111000111)," &
        "USERCODE  (1111001000)," &
        "IDCODE    (1111001001)," &
        "HIGHZ     (1111001010)," &
        "JPROGRAM  (1111001011)," & -- Not available during configuration with another mode.
        "JSTART    (1111001100)," & -- Not available during configuration with another mode.
        "JSHUTDOWN (1111001101)," & -- Not available during configuration with another mode.
        "MONITOR1  (1111001110)," &
        "MONITOR2  (1111001111)," &
        "BYPASS    (1111111111)," &
        "FUSE_UPDATE      (1111110000)," &
        "FUSE_KEY         (1111110001)," &
        "FUSE_ID          (1111110010)," &
        "FUSE_USER        (1111110011)," &
        "FUSE_CNTL        (1111110100)," &
        "MONITOR_DRP      (1111110111)," &
        "MISR_EN          (1111111000)," &
	"ISC_ENABLE           (1111010000)," &
	"ISC_PROGRAM          (1111010001)," &
	"ISC_PROGRAM_KEY      (1111010010)," &
	"ISC_ADDRESS_SHIFT    (1111010011)," &
	"ISC_NOOP             (1111010100)," &
	"ISC_READ             (1111010101)," &
	"ISC_DISABLE          (1111010110)";

attribute INSTRUCTION_CAPTURE of XC5VLX30T_FF323 : entity is
-- Bit 5 is 1 when DONE is released (part of startup sequence)
-- Bit 4 is 1 if house-cleaning is complete
-- Bit 3 is ISC_Enabled
-- Bit 2 is ISC_Done
        "XXXXXXXX01";

attribute INSTRUCTION_PRIVATE of XC5VLX30T_FF323 : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
        "RESERVED1," &
        "RESERVED2," &
        "USER1," &
        "USER2," &
        "USER3," &
        "USER4," &
        "CFG_OUT," &
        "CFG_IN," &
        "JRSVD," &
        "JPROGRAM," &
        "JSTART," &
        "JSHUTDOWN," &
        "INTEST_RSVD," &
        "MONITOR1," &
        "MONITOR2," &
        "FUSE_UPDATE," &
        "FUSE_KEY," &
        "FUSE_ID," &
        "FUSE_USER," &
        "FUSE_CNTL," &
        "MONITOR_DRP," &
        "MISR_EN," &
	"ISC_ENABLE," &
	"ISC_PROGRAM," &
	"ISC_PROGRAM_KEY," &
	"ISC_ADDRESS_SHIFT," &
	"ISC_NOOP," &
	"ISC_READ," &
	"ISC_DISABLE";

-- Optional Register Description

attribute IDCODE_REGISTER of XC5VLX30T_FF323 : entity is
	"XXXX" &	-- version
	"0010101" &	-- family
	"001101110" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1


attribute USERCODE_REGISTER of XC5VLX30T_FF323 : entity is
        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

-- Register Access Description

attribute REGISTER_ACCESS of XC5VLX30T_FF323 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
--	"<reg_name>[<length>] (USER3)," &
--	"<reg_name>[<length>] (USER4)," &
        "BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,PRELOAD,EXTEST)";

-- Boundary-Scan Register Description

attribute BOUNDARY_LENGTH of XC5VLX30T_FF323 : entity is 1265;

attribute BOUNDARY_REGISTER of XC5VLX30T_FF323 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_1, *, internal, X)," &
	"   1 (BC_1, *, internal, X)," &
	"   2 (BC_1, *, internal, X)," &
	"   3 (BC_1, *, internal, X)," &
	"   4 (BC_1, *, internal, X)," &
	"   5 (BC_1, *, internal, X)," &
	"   6 (BC_1, *, internal, X)," &
	"   7 (BC_1, *, internal, X)," &
	"   8 (BC_1, *, internal, X)," &
	"   9 (BC_1, *, internal, X)," &
	"  10 (BC_1, *, internal, X)," &
	"  11 (BC_1, *, internal, X)," &
	"  12 (BC_1, *, internal, X)," &
	"  13 (BC_1, *, internal, X)," &
	"  14 (BC_1, *, internal, X)," &
	"  15 (BC_1, *, internal, X)," &
	"  16 (BC_1, *, internal, X)," &
	"  17 (BC_1, *, internal, X)," &
	"  18 (BC_1, *, internal, X)," &
	"  19 (BC_1, *, internal, X)," &
	"  20 (BC_1, *, internal, X)," &
	"  21 (BC_1, *, internal, X)," &
	"  22 (BC_1, *, internal, X)," &
	"  23 (BC_1, *, internal, X)," &
	"  24 (BC_1, *, internal, X)," &
	"  25 (BC_1, *, internal, X)," &
	"  26 (BC_1, *, internal, X)," &
	"  27 (BC_1, *, internal, X)," &
	"  28 (BC_1, *, internal, X)," &
	"  29 (BC_1, *, internal, X)," &
	"  30 (BC_1, *, internal, X)," &
	"  31 (BC_1, *, internal, X)," &
	"  32 (BC_1, *, internal, X)," &
	"  33 (BC_1, *, internal, X)," &
	"  34 (BC_1, *, internal, X)," &
	"  35 (BC_1, *, internal, X)," &
	"  36 (BC_2, *, internal, 1)," & --  PAD400.T
	"  37 (BC_2, *, internal, X)," & --  PAD400.O
	"  38 (BC_2, *, internal, X)," & --  PAD400.I
	"  39 (BC_2, *, internal, 1)," & --  PAD399.T
	"  40 (BC_2, *, internal, X)," & --  PAD399.O
	"  41 (BC_2, *, internal, X)," & --  PAD399.I
	"  42 (BC_2, *, internal, 1)," & --  PAD398.T
	"  43 (BC_2, *, internal, X)," & --  PAD398.O
	"  44 (BC_2, *, internal, X)," & --  PAD398.I
	"  45 (BC_2, *, internal, 1)," & --  PAD397.T
	"  46 (BC_2, *, internal, X)," & --  PAD397.O
	"  47 (BC_2, *, internal, X)," & --  PAD397.I
	"  48 (BC_2, *, internal, 1)," & --  PAD396.T
	"  49 (BC_2, *, internal, X)," & --  PAD396.O
	"  50 (BC_2, *, internal, X)," & --  PAD396.I
	"  51 (BC_2, *, internal, 1)," & --  PAD395.T
	"  52 (BC_2, *, internal, X)," & --  PAD395.O
	"  53 (BC_2, *, internal, X)," & --  PAD395.I
	"  54 (BC_2, *, internal, 1)," & --  PAD394.T
	"  55 (BC_2, *, internal, X)," & --  PAD394.O
	"  56 (BC_2, *, internal, X)," & --  PAD394.I
	"  57 (BC_2, *, internal, 1)," & --  PAD393.T
	"  58 (BC_2, *, internal, X)," & --  PAD393.O
	"  59 (BC_2, *, internal, X)," & --  PAD393.I
	"  60 (BC_2, *, internal, 1)," & --  PAD392.T
	"  61 (BC_2, *, internal, X)," & --  PAD392.O
	"  62 (BC_2, *, internal, X)," & --  PAD392.I
	"  63 (BC_2, *, internal, 1)," & --  PAD391.T
	"  64 (BC_2, *, internal, X)," & --  PAD391.O
	"  65 (BC_2, *, internal, X)," & --  PAD391.I
	"  66 (BC_2, *, internal, 1)," & --  PAD390.T
	"  67 (BC_2, *, internal, X)," & --  PAD390.O
	"  68 (BC_2, *, internal, X)," & --  PAD390.I
	"  69 (BC_2, *, internal, 1)," & --  PAD389.T
	"  70 (BC_2, *, internal, X)," & --  PAD389.O
	"  71 (BC_2, *, internal, X)," & --  PAD389.I
	"  72 (BC_2, *, internal, 1)," & --  PAD388.T
	"  73 (BC_2, *, internal, X)," & --  PAD388.O
	"  74 (BC_2, *, internal, X)," & --  PAD388.I
	"  75 (BC_2, *, internal, 1)," & --  PAD387.T
	"  76 (BC_2, *, internal, X)," & --  PAD387.O
	"  77 (BC_2, *, internal, X)," & --  PAD387.I
	"  78 (BC_2, *, internal, 1)," & --  PAD386.T
	"  79 (BC_2, *, internal, X)," & --  PAD386.O
	"  80 (BC_2, *, internal, X)," & --  PAD386.I
	"  81 (BC_2, *, internal, 1)," & --  PAD385.T
	"  82 (BC_2, *, internal, X)," & --  PAD385.O
	"  83 (BC_2, *, internal, X)," & --  PAD385.I
	"  84 (BC_2, *, internal, 1)," & --  PAD384.T
	"  85 (BC_2, *, internal, X)," & --  PAD384.O
	"  86 (BC_2, *, internal, X)," & --  PAD384.I
	"  87 (BC_2, *, internal, 1)," & --  PAD383.T
	"  88 (BC_2, *, internal, X)," & --  PAD383.O
	"  89 (BC_2, *, internal, X)," & --  PAD383.I
	"  90 (BC_2, *, internal, 1)," & --  PAD382.T
	"  91 (BC_2, *, internal, X)," & --  PAD382.O
	"  92 (BC_2, *, internal, X)," & --  PAD382.I
	"  93 (BC_2, *, internal, 1)," & --  PAD381.T
	"  94 (BC_2, *, internal, X)," & --  PAD381.O
	"  95 (BC_2, *, internal, X)," & --  PAD381.I
	"  96 (BC_2, *, internal, 1)," & --  PAD380.T
	"  97 (BC_2, *, internal, X)," & --  PAD380.O
	"  98 (BC_2, *, internal, X)," & --  PAD380.I
	"  99 (BC_2, *, internal, 1)," & --  PAD379.T
	" 100 (BC_2, *, internal, X)," & --  PAD379.O
	" 101 (BC_2, *, internal, X)," & --  PAD379.I
	" 102 (BC_2, *, internal, 1)," & --  PAD378.T
	" 103 (BC_2, *, internal, X)," & --  PAD378.O
	" 104 (BC_2, *, internal, X)," & --  PAD378.I
	" 105 (BC_2, *, internal, 1)," & --  PAD377.T
	" 106 (BC_2, *, internal, X)," & --  PAD377.O
	" 107 (BC_2, *, internal, X)," & --  PAD377.I
	" 108 (BC_2, *, internal, 1)," & --  PAD376.T
	" 109 (BC_2, *, internal, X)," & --  PAD376.O
	" 110 (BC_2, *, internal, X)," & --  PAD376.I
	" 111 (BC_2, *, internal, 1)," & --  PAD375.T
	" 112 (BC_2, *, internal, X)," & --  PAD375.O
	" 113 (BC_2, *, internal, X)," & --  PAD375.I
	" 114 (BC_2, *, internal, 1)," & --  PAD374.T
	" 115 (BC_2, *, internal, X)," & --  PAD374.O
	" 116 (BC_2, *, internal, X)," & --  PAD374.I
	" 117 (BC_2, *, internal, 1)," & --  PAD373.T
	" 118 (BC_2, *, internal, X)," & --  PAD373.O
	" 119 (BC_2, *, internal, X)," & --  PAD373.I
	" 120 (BC_2, *, internal, 1)," & --  PAD372.T
	" 121 (BC_2, *, internal, X)," & --  PAD372.O
	" 122 (BC_2, *, internal, X)," & --  PAD372.I
	" 123 (BC_2, *, internal, 1)," & --  PAD371.T
	" 124 (BC_2, *, internal, X)," & --  PAD371.O
	" 125 (BC_2, *, internal, X)," & --  PAD371.I
	" 126 (BC_2, *, internal, 1)," & --  PAD370.T
	" 127 (BC_2, *, internal, X)," & --  PAD370.O
	" 128 (BC_2, *, internal, X)," & --  PAD370.I
	" 129 (BC_2, *, internal, 1)," & --  PAD369.T
	" 130 (BC_2, *, internal, X)," & --  PAD369.O
	" 131 (BC_2, *, internal, X)," & --  PAD369.I
	" 132 (BC_2, *, internal, 1)," & --  PAD368.T
	" 133 (BC_2, *, internal, X)," & --  PAD368.O
	" 134 (BC_2, *, internal, X)," & --  PAD368.I
	" 135 (BC_2, *, internal, 1)," & --  PAD367.T
	" 136 (BC_2, *, internal, X)," & --  PAD367.O
	" 137 (BC_2, *, internal, X)," & --  PAD367.I
	" 138 (BC_2, *, internal, 1)," & --  PAD366.T
	" 139 (BC_2, *, internal, X)," & --  PAD366.O
	" 140 (BC_2, *, internal, X)," & --  PAD366.I
	" 141 (BC_2, *, internal, 1)," & --  PAD365.T
	" 142 (BC_2, *, internal, X)," & --  PAD365.O
	" 143 (BC_2, *, internal, X)," & --  PAD365.I
	" 144 (BC_2, *, internal, 1)," & --  PAD364.T
	" 145 (BC_2, *, internal, X)," & --  PAD364.O
	" 146 (BC_2, *, internal, X)," & --  PAD364.I
	" 147 (BC_2, *, internal, 1)," & --  PAD363.T
	" 148 (BC_2, *, internal, X)," & --  PAD363.O
	" 149 (BC_2, *, internal, X)," & --  PAD363.I
	" 150 (BC_2, *, internal, 1)," & --  PAD362.T
	" 151 (BC_2, *, internal, X)," & --  PAD362.O
	" 152 (BC_2, *, internal, X)," & --  PAD362.I
	" 153 (BC_2, *, internal, 1)," & --  PAD361.T
	" 154 (BC_2, *, internal, X)," & --  PAD361.O
	" 155 (BC_2, *, internal, X)," & --  PAD361.I
	" 156 (BC_2, *, internal, 1)," & --  PAD360.T
	" 157 (BC_2, *, internal, X)," & --  PAD360.O
	" 158 (BC_2, *, internal, X)," & --  PAD360.I
	" 159 (BC_2, *, internal, 1)," & --  PAD359.T
	" 160 (BC_2, *, internal, X)," & --  PAD359.O
	" 161 (BC_2, *, internal, X)," & --  PAD359.I
	" 162 (BC_2, *, internal, 1)," & --  PAD358.T
	" 163 (BC_2, *, internal, X)," & --  PAD358.O
	" 164 (BC_2, *, internal, X)," & --  PAD358.I
	" 165 (BC_2, *, internal, 1)," & --  PAD357.T
	" 166 (BC_2, *, internal, X)," & --  PAD357.O
	" 167 (BC_2, *, internal, X)," & --  PAD357.I
	" 168 (BC_2, *, internal, 1)," & --  PAD356.T
	" 169 (BC_2, *, internal, X)," & --  PAD356.O
	" 170 (BC_2, *, internal, X)," & --  PAD356.I
	" 171 (BC_2, *, internal, 1)," & --  PAD355.T
	" 172 (BC_2, *, internal, X)," & --  PAD355.O
	" 173 (BC_2, *, internal, X)," & --  PAD355.I
	" 174 (BC_2, *, internal, 1)," & --  PAD354.T
	" 175 (BC_2, *, internal, X)," & --  PAD354.O
	" 176 (BC_2, *, internal, X)," & --  PAD354.I
	" 177 (BC_2, *, internal, 1)," & --  PAD353.T
	" 178 (BC_2, *, internal, X)," & --  PAD353.O
	" 179 (BC_2, *, internal, X)," & --  PAD353.I
	" 180 (BC_2, *, internal, 1)," & --  PAD352.T
	" 181 (BC_2, *, internal, X)," & --  PAD352.O
	" 182 (BC_2, *, internal, X)," & --  PAD352.I
	" 183 (BC_2, *, internal, 1)," & --  PAD351.T
	" 184 (BC_2, *, internal, X)," & --  PAD351.O
	" 185 (BC_2, *, internal, X)," & --  PAD351.I
	" 186 (BC_2, *, internal, 1)," & --  PAD350.T
	" 187 (BC_2, *, internal, X)," & --  PAD350.O
	" 188 (BC_2, *, internal, X)," & --  PAD350.I
	" 189 (BC_2, *, internal, 1)," & --  PAD349.T
	" 190 (BC_2, *, internal, X)," & --  PAD349.O
	" 191 (BC_2, *, internal, X)," & --  PAD349.I
	" 192 (BC_2, *, internal, 1)," & --  PAD348.T
	" 193 (BC_2, *, internal, X)," & --  PAD348.O
	" 194 (BC_2, *, internal, X)," & --  PAD348.I
	" 195 (BC_2, *, internal, 1)," & --  PAD347.T
	" 196 (BC_2, *, internal, X)," & --  PAD347.O
	" 197 (BC_2, *, internal, X)," & --  PAD347.I
	" 198 (BC_2, *, internal, 1)," & --  PAD346.T
	" 199 (BC_2, *, internal, X)," & --  PAD346.O
	" 200 (BC_2, *, internal, X)," & --  PAD346.I
	" 201 (BC_2, *, internal, 1)," & --  PAD345.T
	" 202 (BC_2, *, internal, X)," & --  PAD345.O
	" 203 (BC_2, *, internal, X)," & --  PAD345.I
	" 204 (BC_2, *, internal, 1)," & --  PAD344.T
	" 205 (BC_2, *, internal, X)," & --  PAD344.O
	" 206 (BC_2, *, internal, X)," & --  PAD344.I
	" 207 (BC_2, *, internal, 1)," & --  PAD343.T
	" 208 (BC_2, *, internal, X)," & --  PAD343.O
	" 209 (BC_2, *, internal, X)," & --  PAD343.I
	" 210 (BC_2, *, internal, 1)," & --  PAD342.T
	" 211 (BC_2, *, internal, X)," & --  PAD342.O
	" 212 (BC_2, *, internal, X)," & --  PAD342.I
	" 213 (BC_2, *, internal, 1)," & --  PAD341.T
	" 214 (BC_2, *, internal, X)," & --  PAD341.O
	" 215 (BC_2, *, internal, X)," & --  PAD341.I
	" 216 (BC_2, *, internal, 1)," & --  PAD340.T
	" 217 (BC_2, *, internal, X)," & --  PAD340.O
	" 218 (BC_2, *, internal, X)," & --  PAD340.I
	" 219 (BC_2, *, internal, 1)," & --  PAD339.T
	" 220 (BC_2, *, internal, X)," & --  PAD339.O
	" 221 (BC_2, *, internal, X)," & --  PAD339.I
	" 222 (BC_2, *, internal, 1)," & --  PAD338.T
	" 223 (BC_2, *, internal, X)," & --  PAD338.O
	" 224 (BC_2, *, internal, X)," & --  PAD338.I
	" 225 (BC_2, *, internal, 1)," & --  PAD337.T
	" 226 (BC_2, *, internal, X)," & --  PAD337.O
	" 227 (BC_2, *, internal, X)," & --  PAD337.I
	" 228 (BC_2, *, internal, 1)," & --  PAD336.T
	" 229 (BC_2, *, internal, X)," & --  PAD336.O
	" 230 (BC_2, *, internal, X)," & --  PAD336.I
	" 231 (BC_2, *, internal, 1)," & --  PAD335.T
	" 232 (BC_2, *, internal, X)," & --  PAD335.O
	" 233 (BC_2, *, internal, X)," & --  PAD335.I
	" 234 (BC_2, *, internal, 1)," & --  PAD334.T
	" 235 (BC_2, *, internal, X)," & --  PAD334.O
	" 236 (BC_2, *, internal, X)," & --  PAD334.I
	" 237 (BC_2, *, internal, 1)," & --  PAD333.T
	" 238 (BC_2, *, internal, X)," & --  PAD333.O
	" 239 (BC_2, *, internal, X)," & --  PAD333.I
	" 240 (BC_2, *, internal, 1)," & --  PAD332.T
	" 241 (BC_2, *, internal, X)," & --  PAD332.O
	" 242 (BC_2, *, internal, X)," & --  PAD332.I
	" 243 (BC_2, *, internal, 1)," & --  PAD331.T
	" 244 (BC_2, *, internal, X)," & --  PAD331.O
	" 245 (BC_2, *, internal, X)," & --  PAD331.I
	" 246 (BC_2, *, internal, 1)," & --  PAD330.T
	" 247 (BC_2, *, internal, X)," & --  PAD330.O
	" 248 (BC_2, *, internal, X)," & --  PAD330.I
	" 249 (BC_2, *, internal, 1)," & --  PAD329.T
	" 250 (BC_2, *, internal, X)," & --  PAD329.O
	" 251 (BC_2, *, internal, X)," & --  PAD329.I
	" 252 (BC_2, *, internal, 1)," & --  PAD328.T
	" 253 (BC_2, *, internal, X)," & --  PAD328.O
	" 254 (BC_2, *, internal, X)," & --  PAD328.I
	" 255 (BC_2, *, internal, 1)," & --  PAD327.T
	" 256 (BC_2, *, internal, X)," & --  PAD327.O
	" 257 (BC_2, *, internal, X)," & --  PAD327.I
	" 258 (BC_2, *, internal, 1)," & --  PAD326.T
	" 259 (BC_2, *, internal, X)," & --  PAD326.O
	" 260 (BC_2, *, internal, X)," & --  PAD326.I
	" 261 (BC_2, *, internal, 1)," & --  PAD325.T
	" 262 (BC_2, *, internal, X)," & --  PAD325.O
	" 263 (BC_2, *, internal, X)," & --  PAD325.I
	" 264 (BC_2, *, internal, 1)," & --  PAD324.T
	" 265 (BC_2, *, internal, X)," & --  PAD324.O
	" 266 (BC_2, *, internal, X)," & --  PAD324.I
	" 267 (BC_2, *, internal, 1)," & --  PAD323.T
	" 268 (BC_2, *, internal, X)," & --  PAD323.O
	" 269 (BC_2, *, internal, X)," & --  PAD323.I
	" 270 (BC_2, *, internal, 1)," & --  PAD322.T
	" 271 (BC_2, *, internal, X)," & --  PAD322.O
	" 272 (BC_2, *, internal, X)," & --  PAD322.I
	" 273 (BC_2, *, internal, 1)," & --  PAD321.T
	" 274 (BC_2, *, internal, X)," & --  PAD321.O
	" 275 (BC_2, *, internal, X)," & --  PAD321.I
	" 276 (BC_2, *, internal, 1)," & --  PAD320.T
	" 277 (BC_2, *, internal, X)," & --  PAD320.O
	" 278 (BC_2, *, internal, X)," & --  PAD320.I
	" 279 (BC_2, *, internal, 1)," & --  PAD319.T
	" 280 (BC_2, *, internal, X)," & --  PAD319.O
	" 281 (BC_2, *, internal, X)," & --  PAD319.I
	" 282 (BC_2, *, internal, 1)," & --  PAD318.T
	" 283 (BC_2, *, internal, X)," & --  PAD318.O
	" 284 (BC_2, *, internal, X)," & --  PAD318.I
	" 285 (BC_2, *, internal, 1)," & --  PAD317.T
	" 286 (BC_2, *, internal, X)," & --  PAD317.O
	" 287 (BC_2, *, internal, X)," & --  PAD317.I
	" 288 (BC_2, *, internal, 1)," & --  PAD316.T
	" 289 (BC_2, *, internal, X)," & --  PAD316.O
	" 290 (BC_2, *, internal, X)," & --  PAD316.I
	" 291 (BC_2, *, internal, 1)," & --  PAD315.T
	" 292 (BC_2, *, internal, X)," & --  PAD315.O
	" 293 (BC_2, *, internal, X)," & --  PAD315.I
	" 294 (BC_2, *, internal, 1)," & --  PAD314.T
	" 295 (BC_2, *, internal, X)," & --  PAD314.O
	" 296 (BC_2, *, internal, X)," & --  PAD314.I
	" 297 (BC_2, *, internal, 1)," & --  PAD313.T
	" 298 (BC_2, *, internal, X)," & --  PAD313.O
	" 299 (BC_2, *, internal, X)," & --  PAD313.I
	" 300 (BC_2, *, internal, 1)," & --  PAD312.T
	" 301 (BC_2, *, internal, X)," & --  PAD312.O
	" 302 (BC_2, *, internal, X)," & --  PAD312.I
	" 303 (BC_2, *, internal, 1)," & --  PAD311.T
	" 304 (BC_2, *, internal, X)," & --  PAD311.O
	" 305 (BC_2, *, internal, X)," & --  PAD311.I
	" 306 (BC_2, *, internal, 1)," & --  PAD310.T
	" 307 (BC_2, *, internal, X)," & --  PAD310.O
	" 308 (BC_2, *, internal, X)," & --  PAD310.I
	" 309 (BC_2, *, internal, 1)," & --  PAD309.T
	" 310 (BC_2, *, internal, X)," & --  PAD309.O
	" 311 (BC_2, *, internal, X)," & --  PAD309.I
	" 312 (BC_2, *, internal, 1)," & --  PAD308.T
	" 313 (BC_2, *, internal, X)," & --  PAD308.O
	" 314 (BC_2, *, internal, X)," & --  PAD308.I
	" 315 (BC_2, *, internal, 1)," & --  PAD307.T
	" 316 (BC_2, *, internal, X)," & --  PAD307.O
	" 317 (BC_2, *, internal, X)," & --  PAD307.I
	" 318 (BC_2, *, internal, 1)," & --  PAD306.T
	" 319 (BC_2, *, internal, X)," & --  PAD306.O
	" 320 (BC_2, *, internal, X)," & --  PAD306.I
	" 321 (BC_2, *, internal, 1)," & --  PAD305.T
	" 322 (BC_2, *, internal, X)," & --  PAD305.O
	" 323 (BC_2, *, internal, X)," & --  PAD305.I
	" 324 (BC_2, *, internal, 1)," & --  PAD304.T
	" 325 (BC_2, *, internal, X)," & --  PAD304.O
	" 326 (BC_2, *, internal, X)," & --  PAD304.I
	" 327 (BC_2, *, internal, 1)," & --  PAD303.T
	" 328 (BC_2, *, internal, X)," & --  PAD303.O
	" 329 (BC_2, *, internal, X)," & --  PAD303.I
	" 330 (BC_2, *, internal, 1)," & --  PAD302.T
	" 331 (BC_2, *, internal, X)," & --  PAD302.O
	" 332 (BC_2, *, internal, X)," & --  PAD302.I
	" 333 (BC_2, *, internal, 1)," & --  PAD301.T
	" 334 (BC_2, *, internal, X)," & --  PAD301.O
	" 335 (BC_2, *, internal, X)," & --  PAD301.I
	" 336 (BC_2, *, internal, 1)," & --  PAD300.T
	" 337 (BC_2, *, internal, X)," & --  PAD300.O
	" 338 (BC_2, *, internal, X)," & --  PAD300.I
	" 339 (BC_2, *, internal, 1)," & --  PAD299.T
	" 340 (BC_2, *, internal, X)," & --  PAD299.O
	" 341 (BC_2, *, internal, X)," & --  PAD299.I
	" 342 (BC_2, *, internal, 1)," & --  PAD298.T
	" 343 (BC_2, *, internal, X)," & --  PAD298.O
	" 344 (BC_2, *, internal, X)," & --  PAD298.I
	" 345 (BC_2, *, internal, 1)," & --  PAD297.T
	" 346 (BC_2, *, internal, X)," & --  PAD297.O
	" 347 (BC_2, *, internal, X)," & --  PAD297.I
	" 348 (BC_2, *, internal, 1)," & --  PAD296.T
	" 349 (BC_2, *, internal, X)," & --  PAD296.O
	" 350 (BC_2, *, internal, X)," & --  PAD296.I
	" 351 (BC_2, *, internal, 1)," & --  PAD295.T
	" 352 (BC_2, *, internal, X)," & --  PAD295.O
	" 353 (BC_2, *, internal, X)," & --  PAD295.I
	" 354 (BC_2, *, internal, 1)," & --  PAD294.T
	" 355 (BC_2, *, internal, X)," & --  PAD294.O
	" 356 (BC_2, *, internal, X)," & --  PAD294.I
	" 357 (BC_2, *, internal, 1)," & --  PAD293.T
	" 358 (BC_2, *, internal, X)," & --  PAD293.O
	" 359 (BC_2, *, internal, X)," & --  PAD293.I
	" 360 (BC_2, *, internal, 1)," & --  PAD292.T
	" 361 (BC_2, *, internal, X)," & --  PAD292.O
	" 362 (BC_2, *, internal, X)," & --  PAD292.I
	" 363 (BC_2, *, internal, 1)," & --  PAD291.T
	" 364 (BC_2, *, internal, X)," & --  PAD291.O
	" 365 (BC_2, *, internal, X)," & --  PAD291.I
	" 366 (BC_2, *, internal, 1)," & --  PAD290.T
	" 367 (BC_2, *, internal, X)," & --  PAD290.O
	" 368 (BC_2, *, internal, X)," & --  PAD290.I
	" 369 (BC_2, *, internal, 1)," & --  PAD289.T
	" 370 (BC_2, *, internal, X)," & --  PAD289.O
	" 371 (BC_2, *, internal, X)," & --  PAD289.I
	" 372 (BC_2, *, internal, 1)," & --  PAD288.T
	" 373 (BC_2, *, internal, X)," & --  PAD288.O
	" 374 (BC_2, *, internal, X)," & --  PAD288.I
	" 375 (BC_2, *, internal, 1)," & --  PAD287.T
	" 376 (BC_2, *, internal, X)," & --  PAD287.O
	" 377 (BC_2, *, internal, X)," & --  PAD287.I
	" 378 (BC_2, *, internal, 1)," & --  PAD286.T
	" 379 (BC_2, *, internal, X)," & --  PAD286.O
	" 380 (BC_2, *, internal, X)," & --  PAD286.I
	" 381 (BC_2, *, internal, 1)," & --  PAD285.T
	" 382 (BC_2, *, internal, X)," & --  PAD285.O
	" 383 (BC_2, *, internal, X)," & --  PAD285.I
	" 384 (BC_2, *, internal, 1)," & --  PAD284.T
	" 385 (BC_2, *, internal, X)," & --  PAD284.O
	" 386 (BC_2, *, internal, X)," & --  PAD284.I
	" 387 (BC_2, *, internal, 1)," & --  PAD283.T
	" 388 (BC_2, *, internal, X)," & --  PAD283.O
	" 389 (BC_2, *, internal, X)," & --  PAD283.I
	" 390 (BC_2, *, internal, 1)," & --  PAD282.T
	" 391 (BC_2, *, internal, X)," & --  PAD282.O
	" 392 (BC_2, *, internal, X)," & --  PAD282.I
	" 393 (BC_2, *, internal, 1)," & --  PAD281.T
	" 394 (BC_2, *, internal, X)," & --  PAD281.O
	" 395 (BC_2, *, internal, X)," & --  PAD281.I
	" 396 (BC_2, *, internal, 1)," & --  PAD280.T
	" 397 (BC_2, *, internal, X)," & --  PAD280.O
	" 398 (BC_2, *, internal, X)," & --  PAD280.I
	" 399 (BC_2, *, internal, 1)," & --  PAD279.T
	" 400 (BC_2, *, internal, X)," & --  PAD279.O
	" 401 (BC_2, *, internal, X)," & --  PAD279.I
	" 402 (BC_2, *, internal, 1)," & --  PAD278.T
	" 403 (BC_2, *, internal, X)," & --  PAD278.O
	" 404 (BC_2, *, internal, X)," & --  PAD278.I
	" 405 (BC_2, *, internal, 1)," & --  PAD277.T
	" 406 (BC_2, *, internal, X)," & --  PAD277.O
	" 407 (BC_2, *, internal, X)," & --  PAD277.I
	" 408 (BC_2, *, internal, 1)," & --  PAD276.T
	" 409 (BC_2, *, internal, X)," & --  PAD276.O
	" 410 (BC_2, *, internal, X)," & --  PAD276.I
	" 411 (BC_2, *, internal, 1)," & --  PAD275.T
	" 412 (BC_2, *, internal, X)," & --  PAD275.O
	" 413 (BC_2, *, internal, X)," & --  PAD275.I
	" 414 (BC_2, *, internal, 1)," & --  PAD274.T
	" 415 (BC_2, *, internal, X)," & --  PAD274.O
	" 416 (BC_2, *, internal, X)," & --  PAD274.I
	" 417 (BC_2, *, internal, 1)," & --  PAD273.T
	" 418 (BC_2, *, internal, X)," & --  PAD273.O
	" 419 (BC_2, *, internal, X)," & --  PAD273.I
	" 420 (BC_2, *, internal, 1)," & --  PAD272.T
	" 421 (BC_2, *, internal, X)," & --  PAD272.O
	" 422 (BC_2, *, internal, X)," & --  PAD272.I
	" 423 (BC_2, *, internal, 1)," & --  PAD271.T
	" 424 (BC_2, *, internal, X)," & --  PAD271.O
	" 425 (BC_2, *, internal, X)," & --  PAD271.I
	" 426 (BC_2, *, internal, 1)," & --  PAD270.T
	" 427 (BC_2, *, internal, X)," & --  PAD270.O
	" 428 (BC_2, *, internal, X)," & --  PAD270.I
	" 429 (BC_2, *, internal, 1)," & --  PAD269.T
	" 430 (BC_2, *, internal, X)," & --  PAD269.O
	" 431 (BC_2, *, internal, X)," & --  PAD269.I
	" 432 (BC_2, *, internal, 1)," & --  PAD268.T
	" 433 (BC_2, *, internal, X)," & --  PAD268.O
	" 434 (BC_2, *, internal, X)," & --  PAD268.I
	" 435 (BC_2, *, internal, 1)," & --  PAD267.T
	" 436 (BC_2, *, internal, X)," & --  PAD267.O
	" 437 (BC_2, *, internal, X)," & --  PAD267.I
	" 438 (BC_2, *, internal, 1)," & --  PAD266.T
	" 439 (BC_2, *, internal, X)," & --  PAD266.O
	" 440 (BC_2, *, internal, X)," & --  PAD266.I
	" 441 (BC_2, *, internal, 1)," & --  PAD265.T
	" 442 (BC_2, *, internal, X)," & --  PAD265.O
	" 443 (BC_2, *, internal, X)," & --  PAD265.I
	" 444 (BC_2, *, internal, 1)," & --  PAD264.T
	" 445 (BC_2, *, internal, X)," & --  PAD264.O
	" 446 (BC_2, *, internal, X)," & --  PAD264.I
	" 447 (BC_2, *, internal, 1)," & --  PAD263.T
	" 448 (BC_2, *, internal, X)," & --  PAD263.O
	" 449 (BC_2, *, internal, X)," & --  PAD263.I
	" 450 (BC_2, *, internal, 1)," & --  PAD262.T
	" 451 (BC_2, *, internal, X)," & --  PAD262.O
	" 452 (BC_2, *, internal, X)," & --  PAD262.I
	" 453 (BC_2, *, internal, 1)," & --  PAD261.T
	" 454 (BC_2, *, internal, X)," & --  PAD261.O
	" 455 (BC_2, *, internal, X)," & --  PAD261.I
	" 456 (BC_2, *, internal, 1)," & --  PAD260.T
	" 457 (BC_2, *, internal, X)," & --  PAD260.O
	" 458 (BC_2, *, internal, X)," & --  PAD260.I
	" 459 (BC_2, *, internal, 1)," & --  PAD259.T
	" 460 (BC_2, *, internal, X)," & --  PAD259.O
	" 461 (BC_2, *, internal, X)," & --  PAD259.I
	" 462 (BC_2, *, internal, 1)," & --  PAD258.T
	" 463 (BC_2, *, internal, X)," & --  PAD258.O
	" 464 (BC_2, *, internal, X)," & --  PAD258.I
	" 465 (BC_2, *, internal, 1)," & --  PAD257.T
	" 466 (BC_2, *, internal, X)," & --  PAD257.O
	" 467 (BC_2, *, internal, X)," & --  PAD257.I
	" 468 (BC_2, *, internal, 1)," & --  PAD256.T
	" 469 (BC_2, *, internal, X)," & --  PAD256.O
	" 470 (BC_2, *, internal, X)," & --  PAD256.I
	" 471 (BC_2, *, internal, 1)," & --  PAD255.T
	" 472 (BC_2, *, internal, X)," & --  PAD255.O
	" 473 (BC_2, *, internal, X)," & --  PAD255.I
	" 474 (BC_2, *, internal, 1)," & --  PAD254.T
	" 475 (BC_2, *, internal, X)," & --  PAD254.O
	" 476 (BC_2, *, internal, X)," & --  PAD254.I
	" 477 (BC_2, *, internal, 1)," & --  PAD253.T
	" 478 (BC_2, *, internal, X)," & --  PAD253.O
	" 479 (BC_2, *, internal, X)," & --  PAD253.I
	" 480 (BC_2, *, internal, 1)," & --  PAD252.T
	" 481 (BC_2, *, internal, X)," & --  PAD252.O
	" 482 (BC_2, *, internal, X)," & --  PAD252.I
	" 483 (BC_2, *, internal, 1)," & --  PAD251.T
	" 484 (BC_2, *, internal, X)," & --  PAD251.O
	" 485 (BC_2, *, internal, X)," & --  PAD251.I
	" 486 (BC_2, *, internal, 1)," & --  PAD250.T
	" 487 (BC_2, *, internal, X)," & --  PAD250.O
	" 488 (BC_2, *, internal, X)," & --  PAD250.I
	" 489 (BC_2, *, internal, 1)," & --  PAD249.T
	" 490 (BC_2, *, internal, X)," & --  PAD249.O
	" 491 (BC_2, *, internal, X)," & --  PAD249.I
	" 492 (BC_2, *, internal, 1)," & --  PAD248.T
	" 493 (BC_2, *, internal, X)," & --  PAD248.O
	" 494 (BC_2, *, internal, X)," & --  PAD248.I
	" 495 (BC_2, *, internal, 1)," & --  PAD247.T
	" 496 (BC_2, *, internal, X)," & --  PAD247.O
	" 497 (BC_2, *, internal, X)," & --  PAD247.I
	" 498 (BC_2, *, internal, 1)," & --  PAD246.T
	" 499 (BC_2, *, internal, X)," & --  PAD246.O
	" 500 (BC_2, *, internal, X)," & --  PAD246.I
	" 501 (BC_2, *, internal, 1)," & --  PAD245.T
	" 502 (BC_2, *, internal, X)," & --  PAD245.O
	" 503 (BC_2, *, internal, X)," & --  PAD245.I
	" 504 (BC_2, *, internal, 1)," & --  PAD244.T
	" 505 (BC_2, *, internal, X)," & --  PAD244.O
	" 506 (BC_2, *, internal, X)," & --  PAD244.I
	" 507 (BC_2, *, internal, 1)," & --  PAD243.T
	" 508 (BC_2, *, internal, X)," & --  PAD243.O
	" 509 (BC_2, *, internal, X)," & --  PAD243.I
	" 510 (BC_2, *, internal, 1)," & --  PAD242.T
	" 511 (BC_2, *, internal, X)," & --  PAD242.O
	" 512 (BC_2, *, internal, X)," & --  PAD242.I
	" 513 (BC_2, *, internal, 1)," & --  PAD241.T
	" 514 (BC_2, *, internal, X)," & --  PAD241.O
	" 515 (BC_2, *, internal, X)," & --  PAD241.I
	" 516 (BC_1, *, internal, X)," &
	" 517 (BC_1, *, internal, X)," &
	" 518 (BC_1, *, internal, X)," &
	" 519 (BC_1, *, internal, X)," &
	" 520 (BC_1, *, internal, X)," &
	" 521 (BC_2, *, controlr, 1)," &
	" 522 (BC_2, IO_P7, output3, X, 521, 1, PULL0)," & --  PAD240
	" 523 (BC_2, IO_P7, input, X)," & --  PAD240
	" 524 (BC_2, *, controlr, 1)," &
	" 525 (BC_2, IO_P8, output3, X, 524, 1, PULL0)," & --  PAD239
	" 526 (BC_2, IO_P8, input, X)," & --  PAD239
	" 527 (BC_2, *, controlr, 1)," &
	" 528 (BC_2, IO_R7, output3, X, 527, 1, PULL0)," & --  PAD238
	" 529 (BC_2, IO_R7, input, X)," & --  PAD238
	" 530 (BC_2, *, controlr, 1)," &
	" 531 (BC_2, IO_T7, output3, X, 530, 1, PULL0)," & --  PAD237
	" 532 (BC_2, IO_T7, input, X)," & --  PAD237
	" 533 (BC_2, *, controlr, 1)," &
	" 534 (BC_2, IO_M8, output3, X, 533, 1, PULL0)," & --  PAD236
	" 535 (BC_2, IO_M8, input, X)," & --  PAD236
	" 536 (BC_2, *, controlr, 1)," &
	" 537 (BC_2, IO_N8, output3, X, 536, 1, PULL0)," & --  PAD235
	" 538 (BC_2, IO_N8, input, X)," & --  PAD235
	" 539 (BC_2, *, controlr, 1)," &
	" 540 (BC_2, IO_V7, output3, X, 539, 1, PULL0)," & --  PAD234
	" 541 (BC_2, IO_V7, input, X)," & --  PAD234
	" 542 (BC_2, *, controlr, 1)," &
	" 543 (BC_2, IO_V8, output3, X, 542, 1, PULL0)," & --  PAD233
	" 544 (BC_2, IO_V8, input, X)," & --  PAD233
	" 545 (BC_2, *, controlr, 1)," &
	" 546 (BC_2, IO_U6, output3, X, 545, 1, PULL0)," & --  PAD232
	" 547 (BC_2, IO_U6, input, X)," & --  PAD232
	" 548 (BC_2, *, controlr, 1)," &
	" 549 (BC_2, IO_V6, output3, X, 548, 1, PULL0)," & --  PAD231
	" 550 (BC_2, IO_V6, input, X)," & --  PAD231
	" 551 (BC_2, *, controlr, 1)," &
	" 552 (BC_2, IO_U8, output3, X, 551, 1, PULL0)," & --  PAD230
	" 553 (BC_2, IO_U8, input, X)," & --  PAD230
	" 554 (BC_2, *, controlr, 1)," &
	" 555 (BC_2, IO_T8, output3, X, 554, 1, PULL0)," & --  PAD229
	" 556 (BC_2, IO_T8, input, X)," & --  PAD229
	" 557 (BC_2, *, controlr, 1)," &
	" 558 (BC_2, IO_R6, output3, X, 557, 1, PULL0)," & --  PAD228
	" 559 (BC_2, IO_R6, input, X)," & --  PAD228
	" 560 (BC_2, *, controlr, 1)," &
	" 561 (BC_2, IO_T6, output3, X, 560, 1, PULL0)," & --  PAD227
	" 562 (BC_2, IO_T6, input, X)," & --  PAD227
	" 563 (BC_2, *, controlr, 1)," &
	" 564 (BC_2, IO_U9, output3, X, 563, 1, PULL0)," & --  PAD226
	" 565 (BC_2, IO_U9, input, X)," & --  PAD226
	" 566 (BC_2, *, controlr, 1)," &
	" 567 (BC_2, IO_T9, output3, X, 566, 1, PULL0)," & --  PAD225
	" 568 (BC_2, IO_T9, input, X)," & --  PAD225
	" 569 (BC_2, *, controlr, 1)," &
	" 570 (BC_2, IO_N6, output3, X, 569, 1, PULL0)," & --  PAD224
	" 571 (BC_2, IO_N6, input, X)," & --  PAD224
	" 572 (BC_2, *, controlr, 1)," &
	" 573 (BC_2, IO_N7, output3, X, 572, 1, PULL0)," & --  PAD223
	" 574 (BC_2, IO_N7, input, X)," & --  PAD223
	" 575 (BC_2, *, controlr, 1)," &
	" 576 (BC_2, IO_P9, output3, X, 575, 1, PULL0)," & --  PAD222
	" 577 (BC_2, IO_P9, input, X)," & --  PAD222
	" 578 (BC_2, *, controlr, 1)," &
	" 579 (BC_2, IO_R9, output3, X, 578, 1, PULL0)," & --  PAD221
	" 580 (BC_2, IO_R9, input, X)," & --  PAD221
	" 581 (BC_2, *, controlr, 1)," &
	" 582 (BC_2, IO_T3, output3, X, 581, 1, PULL0)," & --  PAD220
	" 583 (BC_2, IO_T3, input, X)," & --  PAD220
	" 584 (BC_2, *, controlr, 1)," &
	" 585 (BC_2, IO_T4, output3, X, 584, 1, PULL0)," & --  PAD219
	" 586 (BC_2, IO_T4, input, X)," & --  PAD219
	" 587 (BC_2, *, controlr, 1)," &
	" 588 (BC_2, IO_R5, output3, X, 587, 1, PULL0)," & --  PAD218
	" 589 (BC_2, IO_R5, input, X)," & --  PAD218
	" 590 (BC_2, *, controlr, 1)," &
	" 591 (BC_2, IO_P5, output3, X, 590, 1, PULL0)," & --  PAD217
	" 592 (BC_2, IO_P5, input, X)," & --  PAD217
	" 593 (BC_2, *, controlr, 1)," &
	" 594 (BC_2, IO_V5, output3, X, 593, 1, PULL0)," & --  PAD216
	" 595 (BC_2, IO_V5, input, X)," & --  PAD216
	" 596 (BC_2, *, controlr, 1)," &
	" 597 (BC_2, IO_U5, output3, X, 596, 1, PULL0)," & --  PAD215
	" 598 (BC_2, IO_U5, input, X)," & --  PAD215
	" 599 (BC_2, *, controlr, 1)," &
	" 600 (BC_2, IO_R4, output3, X, 599, 1, PULL0)," & --  PAD214
	" 601 (BC_2, IO_R4, input, X)," & --  PAD214
	" 602 (BC_2, *, controlr, 1)," &
	" 603 (BC_2, IO_P4, output3, X, 602, 1, PULL0)," & --  PAD213
	" 604 (BC_2, IO_P4, input, X)," & --  PAD213
	" 605 (BC_2, *, controlr, 1)," &
	" 606 (BC_2, IO_U3, output3, X, 605, 1, PULL0)," & --  PAD212
	" 607 (BC_2, IO_U3, input, X)," & --  PAD212
	" 608 (BC_2, *, controlr, 1)," &
	" 609 (BC_2, IO_U4, output3, X, 608, 1, PULL0)," & --  PAD211
	" 610 (BC_2, IO_U4, input, X)," & --  PAD211
	" 611 (BC_2, *, controlr, 1)," &
	" 612 (BC_2, IO_T2, output3, X, 611, 1, PULL0)," & --  PAD210
	" 613 (BC_2, IO_T2, input, X)," & --  PAD210
	" 614 (BC_2, *, controlr, 1)," &
	" 615 (BC_2, IO_R2, output3, X, 614, 1, PULL0)," & --  PAD209
	" 616 (BC_2, IO_R2, input, X)," & --  PAD209
	" 617 (BC_2, *, controlr, 1)," &
	" 618 (BC_2, IO_V3, output3, X, 617, 1, PULL0)," & --  PAD208
	" 619 (BC_2, IO_V3, input, X)," & --  PAD208
	" 620 (BC_2, *, controlr, 1)," &
	" 621 (BC_2, IO_V2, output3, X, 620, 1, PULL0)," & --  PAD207
	" 622 (BC_2, IO_V2, input, X)," & --  PAD207
	" 623 (BC_2, *, controlr, 1)," &
	" 624 (BC_2, IO_P3, output3, X, 623, 1, PULL0)," & --  PAD206
	" 625 (BC_2, IO_P3, input, X)," & --  PAD206
	" 626 (BC_2, *, controlr, 1)," &
	" 627 (BC_2, IO_P2, output3, X, 626, 1, PULL0)," & --  PAD205
	" 628 (BC_2, IO_P2, input, X)," & --  PAD205
	" 629 (BC_2, *, controlr, 1)," &
	" 630 (BC_2, IO_U1, output3, X, 629, 1, PULL0)," & --  PAD204
	" 631 (BC_2, IO_U1, input, X)," & --  PAD204
	" 632 (BC_2, *, controlr, 1)," &
	" 633 (BC_2, IO_V1, output3, X, 632, 1, PULL0)," & --  PAD203
	" 634 (BC_2, IO_V1, input, X)," & --  PAD203
	" 635 (BC_2, *, controlr, 1)," &
	" 636 (BC_2, IO_T1, output3, X, 635, 1, PULL0)," & --  PAD202
	" 637 (BC_2, IO_T1, input, X)," & --  PAD202
	" 638 (BC_2, *, controlr, 1)," &
	" 639 (BC_2, IO_R1, output3, X, 638, 1, PULL0)," & --  PAD201
	" 640 (BC_2, IO_R1, input, X)," & --  PAD201
	" 641 (BC_2, M0_G6, input, X)," &
	" 642 (BC_2, M1_H5, input, X)," &
	" 643 (BC_2, M2_M9, input, X)," &
	" 644 (BC_2, *, controlr, 1)," &
	" 645 (BC_2, DOUT_BUSY_N3, output3, X, 644, 1, PULL1)," &
	" 646 (BC_2, RDWR_B_E6, input, X)," &
	" 647 (BC_2, CS_B_A4, input, X)," &
	" 648 (BC_2, D_IN_F6, input, X)," &
	" 649 (BC_2, *, controlr, 1)," &
	" 650 (BC_2, DONE_F9, output3, X, 649, 1, PULL1)," &
	" 651 (BC_2, DONE_F9, input, X)," &
	" 652 (BC_2, *, controlr, 1)," &
	" 653 (BC_2, CCLK_E10, output3, X, 652, 1, PULL1)," &
	" 654 (BC_2, CCLK_E10, input, X)," &
	" 655 (BC_2, *, controlr, 1)," &
	" 656 (BC_2, INIT_B_B5, output3, X, 655, 1, PULL1)," &
	" 657 (BC_2, INIT_B_B5, input, X)," &
	" 658 (BC_2, *, internal, 1)," & --  PROG_B
	" 659 (BC_2, HSWAP_EN_F11, input, 1)," &
	" 660 (BC_2, *, controlr, 1)," &
	" 661 (BC_2, IO_F7, output3, X, 660, 1, PULL0)," & --  PAD200
	" 662 (BC_2, IO_F7, input, X)," & --  PAD200
	" 663 (BC_2, *, controlr, 1)," &
	" 664 (BC_2, IO_F8, output3, X, 663, 1, PULL0)," & --  PAD199
	" 665 (BC_2, IO_F8, input, X)," & --  PAD199
	" 666 (BC_2, *, controlr, 1)," &
	" 667 (BC_2, IO_C10, output3, X, 666, 1, PULL0)," & --  PAD198
	" 668 (BC_2, IO_C10, input, X)," & --  PAD198
	" 669 (BC_2, *, controlr, 1)," &
	" 670 (BC_2, IO_B10, output3, X, 669, 1, PULL0)," & --  PAD197
	" 671 (BC_2, IO_B10, input, X)," & --  PAD197
	" 672 (BC_2, *, controlr, 1)," &
	" 673 (BC_2, IO_E7, output3, X, 672, 1, PULL0)," & --  PAD196
	" 674 (BC_2, IO_E7, input, X)," & --  PAD196
	" 675 (BC_2, *, controlr, 1)," &
	" 676 (BC_2, IO_D7, output3, X, 675, 1, PULL0)," & --  PAD195
	" 677 (BC_2, IO_D7, input, X)," & --  PAD195
	" 678 (BC_2, *, controlr, 1)," &
	" 679 (BC_2, IO_A9, output3, X, 678, 1, PULL0)," & --  PAD194
	" 680 (BC_2, IO_A9, input, X)," & --  PAD194
	" 681 (BC_2, *, controlr, 1)," &
	" 682 (BC_2, IO_A8, output3, X, 681, 1, PULL0)," & --  PAD193
	" 683 (BC_2, IO_A8, input, X)," & --  PAD193
	" 684 (BC_2, *, controlr, 1)," &
	" 685 (BC_2, IO_C6, output3, X, 684, 1, PULL0)," & --  PAD192
	" 686 (BC_2, IO_C6, input, X)," & --  PAD192
	" 687 (BC_2, *, controlr, 1)," &
	" 688 (BC_2, IO_B6, output3, X, 687, 1, PULL0)," & --  PAD191
	" 689 (BC_2, IO_B6, input, X)," & --  PAD191
	" 690 (BC_2, *, controlr, 1)," &
	" 691 (BC_2, IO_B8, output3, X, 690, 1, PULL0)," & --  PAD190
	" 692 (BC_2, IO_B8, input, X)," & --  PAD190
	" 693 (BC_2, *, controlr, 1)," &
	" 694 (BC_2, IO_B9, output3, X, 693, 1, PULL0)," & --  PAD189
	" 695 (BC_2, IO_B9, input, X)," & --  PAD189
	" 696 (BC_2, *, controlr, 1)," &
	" 697 (BC_2, IO_C7, output3, X, 696, 1, PULL0)," & --  PAD188
	" 698 (BC_2, IO_C7, input, X)," & --  PAD188
	" 699 (BC_2, *, controlr, 1)," &
	" 700 (BC_2, IO_C8, output3, X, 699, 1, PULL0)," & --  PAD187
	" 701 (BC_2, IO_C8, input, X)," & --  PAD187
	" 702 (BC_2, *, controlr, 1)," &
	" 703 (BC_2, IO_D9, output3, X, 702, 1, PULL0)," & --  PAD186
	" 704 (BC_2, IO_D9, input, X)," & --  PAD186
	" 705 (BC_2, *, controlr, 1)," &
	" 706 (BC_2, IO_D10, output3, X, 705, 1, PULL0)," & --  PAD185
	" 707 (BC_2, IO_D10, input, X)," & --  PAD185
	" 708 (BC_2, *, controlr, 1)," &
	" 709 (BC_2, IO_D8, output3, X, 708, 1, PULL0)," & --  PAD184
	" 710 (BC_2, IO_D8, input, X)," & --  PAD184
	" 711 (BC_2, *, controlr, 1)," &
	" 712 (BC_2, IO_E9, output3, X, 711, 1, PULL0)," & --  PAD183
	" 713 (BC_2, IO_E9, input, X)," & --  PAD183
	" 714 (BC_2, *, controlr, 1)," &
	" 715 (BC_2, IO_A6, output3, X, 714, 1, PULL0)," & --  PAD182
	" 716 (BC_2, IO_A6, input, X)," & --  PAD182
	" 717 (BC_2, *, controlr, 1)," &
	" 718 (BC_2, IO_A7, output3, X, 717, 1, PULL0)," & --  PAD181
	" 719 (BC_2, IO_A7, input, X)," & --  PAD181
	" 720 (BC_2, *, internal, 1)," & --  PAD180.T
	" 721 (BC_2, *, internal, X)," & --  PAD180.O
	" 722 (BC_2, *, internal, X)," & --  PAD180.I
	" 723 (BC_2, *, internal, 1)," & --  PAD179.T
	" 724 (BC_2, *, internal, X)," & --  PAD179.O
	" 725 (BC_2, *, internal, X)," & --  PAD179.I
	" 726 (BC_2, *, internal, 1)," & --  PAD178.T
	" 727 (BC_2, *, internal, X)," & --  PAD178.O
	" 728 (BC_2, *, internal, X)," & --  PAD178.I
	" 729 (BC_2, *, internal, 1)," & --  PAD177.T
	" 730 (BC_2, *, internal, X)," & --  PAD177.O
	" 731 (BC_2, *, internal, X)," & --  PAD177.I
	" 732 (BC_2, *, internal, 1)," & --  PAD176.T
	" 733 (BC_2, *, internal, X)," & --  PAD176.O
	" 734 (BC_2, *, internal, X)," & --  PAD176.I
	" 735 (BC_2, *, internal, 1)," & --  PAD175.T
	" 736 (BC_2, *, internal, X)," & --  PAD175.O
	" 737 (BC_2, *, internal, X)," & --  PAD175.I
	" 738 (BC_2, *, internal, 1)," & --  PAD174.T
	" 739 (BC_2, *, internal, X)," & --  PAD174.O
	" 740 (BC_2, *, internal, X)," & --  PAD174.I
	" 741 (BC_2, *, internal, 1)," & --  PAD173.T
	" 742 (BC_2, *, internal, X)," & --  PAD173.O
	" 743 (BC_2, *, internal, X)," & --  PAD173.I
	" 744 (BC_2, *, internal, 1)," & --  PAD172.T
	" 745 (BC_2, *, internal, X)," & --  PAD172.O
	" 746 (BC_2, *, internal, X)," & --  PAD172.I
	" 747 (BC_2, *, internal, 1)," & --  PAD171.T
	" 748 (BC_2, *, internal, X)," & --  PAD171.O
	" 749 (BC_2, *, internal, X)," & --  PAD171.I
	" 750 (BC_2, *, internal, 1)," & --  PAD170.T
	" 751 (BC_2, *, internal, X)," & --  PAD170.O
	" 752 (BC_2, *, internal, X)," & --  PAD170.I
	" 753 (BC_2, *, internal, 1)," & --  PAD169.T
	" 754 (BC_2, *, internal, X)," & --  PAD169.O
	" 755 (BC_2, *, internal, X)," & --  PAD169.I
	" 756 (BC_2, *, internal, 1)," & --  PAD168.T
	" 757 (BC_2, *, internal, X)," & --  PAD168.O
	" 758 (BC_2, *, internal, X)," & --  PAD168.I
	" 759 (BC_2, *, internal, 1)," & --  PAD167.T
	" 760 (BC_2, *, internal, X)," & --  PAD167.O
	" 761 (BC_2, *, internal, X)," & --  PAD167.I
	" 762 (BC_2, *, internal, 1)," & --  PAD166.T
	" 763 (BC_2, *, internal, X)," & --  PAD166.O
	" 764 (BC_2, *, internal, X)," & --  PAD166.I
	" 765 (BC_2, *, internal, 1)," & --  PAD165.T
	" 766 (BC_2, *, internal, X)," & --  PAD165.O
	" 767 (BC_2, *, internal, X)," & --  PAD165.I
	" 768 (BC_2, *, internal, 1)," & --  PAD164.T
	" 769 (BC_2, *, internal, X)," & --  PAD164.O
	" 770 (BC_2, *, internal, X)," & --  PAD164.I
	" 771 (BC_2, *, internal, 1)," & --  PAD163.T
	" 772 (BC_2, *, internal, X)," & --  PAD163.O
	" 773 (BC_2, *, internal, X)," & --  PAD163.I
	" 774 (BC_2, *, internal, 1)," & --  PAD162.T
	" 775 (BC_2, *, internal, X)," & --  PAD162.O
	" 776 (BC_2, *, internal, X)," & --  PAD162.I
	" 777 (BC_2, *, internal, 1)," & --  PAD161.T
	" 778 (BC_2, *, internal, X)," & --  PAD161.O
	" 779 (BC_2, *, internal, X)," & --  PAD161.I
	" 780 (BC_1, *, internal, X)," &
	" 781 (BC_1, *, internal, X)," &
	" 782 (BC_1, *, internal, X)," &
	" 783 (BC_1, *, internal, X)," &
	" 784 (BC_1, *, internal, X)," &
	" 785 (BC_2, *, controlr, 1)," &
	" 786 (BC_2, IO_V11, output3, X, 785, 1, PULL0)," & --  PAD160
	" 787 (BC_2, IO_V11, input, X)," & --  PAD160
	" 788 (BC_2, *, controlr, 1)," &
	" 789 (BC_2, IO_V12, output3, X, 788, 1, PULL0)," & --  PAD159
	" 790 (BC_2, IO_V12, input, X)," & --  PAD159
	" 791 (BC_2, *, controlr, 1)," &
	" 792 (BC_2, IO_V13, output3, X, 791, 1, PULL0)," & --  PAD158
	" 793 (BC_2, IO_V13, input, X)," & --  PAD158
	" 794 (BC_2, *, controlr, 1)," &
	" 795 (BC_2, IO_U13, output3, X, 794, 1, PULL0)," & --  PAD157
	" 796 (BC_2, IO_U13, input, X)," & --  PAD157
	" 797 (BC_2, *, controlr, 1)," &
	" 798 (BC_2, IO_P13, output3, X, 797, 1, PULL0)," & --  PAD156
	" 799 (BC_2, IO_P13, input, X)," & --  PAD156
	" 800 (BC_2, *, controlr, 1)," &
	" 801 (BC_2, IO_P12, output3, X, 800, 1, PULL0)," & --  PAD155
	" 802 (BC_2, IO_P12, input, X)," & --  PAD155
	" 803 (BC_2, *, controlr, 1)," &
	" 804 (BC_2, IO_T13, output3, X, 803, 1, PULL0)," & --  PAD154
	" 805 (BC_2, IO_T13, input, X)," & --  PAD154
	" 806 (BC_2, *, controlr, 1)," &
	" 807 (BC_2, IO_U14, output3, X, 806, 1, PULL0)," & --  PAD153
	" 808 (BC_2, IO_U14, input, X)," & --  PAD153
	" 809 (BC_2, *, controlr, 1)," &
	" 810 (BC_2, IO_U10, output3, X, 809, 1, PULL0)," & --  PAD152
	" 811 (BC_2, IO_U10, input, X)," & --  PAD152
	" 812 (BC_2, *, controlr, 1)," &
	" 813 (BC_2, IO_V10, output3, X, 812, 1, PULL0)," & --  PAD151
	" 814 (BC_2, IO_V10, input, X)," & --  PAD151
	" 815 (BC_2, *, controlr, 1)," &
	" 816 (BC_2, IO_T14, output3, X, 815, 1, PULL0)," & --  PAD150
	" 817 (BC_2, IO_T14, input, X)," & --  PAD150
	" 818 (BC_2, *, controlr, 1)," &
	" 819 (BC_2, IO_R14, output3, X, 818, 1, PULL0)," & --  PAD149
	" 820 (BC_2, IO_R14, input, X)," & --  PAD149
	" 821 (BC_2, *, controlr, 1)," &
	" 822 (BC_2, IO_U11, output3, X, 821, 1, PULL0)," & --  PAD148
	" 823 (BC_2, IO_U11, input, X)," & --  PAD148
	" 824 (BC_2, *, controlr, 1)," &
	" 825 (BC_2, IO_T11, output3, X, 824, 1, PULL0)," & --  PAD147
	" 826 (BC_2, IO_T11, input, X)," & --  PAD147
	" 827 (BC_2, *, controlr, 1)," &
	" 828 (BC_2, IO_V15, output3, X, 827, 1, PULL0)," & --  PAD146
	" 829 (BC_2, IO_V15, input, X)," & --  PAD146
	" 830 (BC_2, *, controlr, 1)," &
	" 831 (BC_2, IO_V16, output3, X, 830, 1, PULL0)," & --  PAD145
	" 832 (BC_2, IO_V16, input, X)," & --  PAD145
	" 833 (BC_2, *, controlr, 1)," &
	" 834 (BC_2, IO_R11, output3, X, 833, 1, PULL0)," & --  PAD144
	" 835 (BC_2, IO_R11, input, X)," & --  PAD144
	" 836 (BC_2, *, controlr, 1)," &
	" 837 (BC_2, IO_R10, output3, X, 836, 1, PULL0)," & --  PAD143
	" 838 (BC_2, IO_R10, input, X)," & --  PAD143
	" 839 (BC_2, *, controlr, 1)," &
	" 840 (BC_2, IO_V17, output3, X, 839, 1, PULL0)," & --  PAD142
	" 841 (BC_2, IO_V17, input, X)," & --  PAD142
	" 842 (BC_2, *, controlr, 1)," &
	" 843 (BC_2, IO_V18, output3, X, 842, 1, PULL0)," & --  PAD141
	" 844 (BC_2, IO_V18, input, X)," & --  PAD141
	" 845 (BC_2, *, controlr, 1)," &
	" 846 (BC_2, IO_U15, output3, X, 845, 1, PULL0)," & --  PAD140
	" 847 (BC_2, IO_U15, input, X)," & --  PAD140
	" 848 (BC_2, *, controlr, 1)," &
	" 849 (BC_2, IO_U16, output3, X, 848, 1, PULL0)," & --  PAD139
	" 850 (BC_2, IO_U16, input, X)," & --  PAD139
	" 851 (BC_2, *, controlr, 1)," &
	" 852 (BC_2, IO_N10, output3, X, 851, 1, PULL0)," & --  PAD138
	" 853 (BC_2, IO_N10, input, X)," & --  PAD138
	" 854 (BC_2, *, controlr, 1)," &
	" 855 (BC_2, IO_P10, output3, X, 854, 1, PULL0)," & --  PAD137
	" 856 (BC_2, IO_P10, input, X)," & --  PAD137
	" 857 (BC_2, *, controlr, 1)," &
	" 858 (BC_2, IO_U18, output3, X, 857, 1, PULL0)," & --  PAD136
	" 859 (BC_2, IO_U18, input, X)," & --  PAD136
	" 860 (BC_2, *, controlr, 1)," &
	" 861 (BC_2, IO_T18, output3, X, 860, 1, PULL0)," & --  PAD135
	" 862 (BC_2, IO_T18, input, X)," & --  PAD135
	" 863 (BC_2, *, controlr, 1)," &
	" 864 (BC_2, IO_R12, output3, X, 863, 1, PULL0)," & --  PAD134
	" 865 (BC_2, IO_R12, input, X)," & --  PAD134
	" 866 (BC_2, *, controlr, 1)," &
	" 867 (BC_2, IO_T12, output3, X, 866, 1, PULL0)," & --  PAD133
	" 868 (BC_2, IO_T12, input, X)," & --  PAD133
	" 869 (BC_2, *, controlr, 1)," &
	" 870 (BC_2, IO_T16, output3, X, 869, 1, PULL0)," & --  PAD132
	" 871 (BC_2, IO_T16, input, X)," & --  PAD132
	" 872 (BC_2, *, controlr, 1)," &
	" 873 (BC_2, IO_T17, output3, X, 872, 1, PULL0)," & --  PAD131
	" 874 (BC_2, IO_T17, input, X)," & --  PAD131
	" 875 (BC_2, *, controlr, 1)," &
	" 876 (BC_2, IO_N11, output3, X, 875, 1, PULL0)," & --  PAD130
	" 877 (BC_2, IO_N11, input, X)," & --  PAD130
	" 878 (BC_2, *, controlr, 1)," &
	" 879 (BC_2, IO_M10, output3, X, 878, 1, PULL0)," & --  PAD129
	" 880 (BC_2, IO_M10, input, X)," & --  PAD129
	" 881 (BC_2, *, internal, 1)," & --  PAD128.T
	" 882 (BC_2, *, internal, X)," & --  PAD128.O
	" 883 (BC_2, *, internal, X)," & --  PAD128.I
	" 884 (BC_2, *, internal, 1)," & --  PAD127.T
	" 885 (BC_2, *, internal, X)," & --  PAD127.O
	" 886 (BC_2, *, internal, X)," & --  PAD127.I
	" 887 (BC_2, *, internal, 1)," & --  PAD126.T
	" 888 (BC_2, *, internal, X)," & --  PAD126.O
	" 889 (BC_2, *, internal, X)," & --  PAD126.I
	" 890 (BC_2, *, internal, 1)," & --  PAD125.T
	" 891 (BC_2, *, internal, X)," & --  PAD125.O
	" 892 (BC_2, *, internal, X)," & --  PAD125.I
	" 893 (BC_2, *, internal, 1)," & --  PAD124.T
	" 894 (BC_2, *, internal, X)," & --  PAD124.O
	" 895 (BC_2, *, internal, X)," & --  PAD124.I
	" 896 (BC_2, *, internal, 1)," & --  PAD123.T
	" 897 (BC_2, *, internal, X)," & --  PAD123.O
	" 898 (BC_2, *, internal, X)," & --  PAD123.I
	" 899 (BC_2, *, internal, 1)," & --  PAD122.T
	" 900 (BC_2, *, internal, X)," & --  PAD122.O
	" 901 (BC_2, *, internal, X)," & --  PAD122.I
	" 902 (BC_2, *, internal, 1)," & --  PAD121.T
	" 903 (BC_2, *, internal, X)," & --  PAD121.O
	" 904 (BC_2, *, internal, X)," & --  PAD121.I
	" 905 (BC_2, *, controlr, 1)," &
	" 906 (BC_2, IO_M15, output3, X, 905, 1, PULL0)," & --  PAD120
	" 907 (BC_2, IO_M15, input, X)," & --  PAD120
	" 908 (BC_2, *, controlr, 1)," &
	" 909 (BC_2, IO_N15, output3, X, 908, 1, PULL0)," & --  PAD119
	" 910 (BC_2, IO_N15, input, X)," & --  PAD119
	" 911 (BC_2, *, controlr, 1)," &
	" 912 (BC_2, IO_M16, output3, X, 911, 1, PULL0)," & --  PAD118
	" 913 (BC_2, IO_M16, input, X)," & --  PAD118
	" 914 (BC_2, *, controlr, 1)," &
	" 915 (BC_2, IO_N16, output3, X, 914, 1, PULL0)," & --  PAD117
	" 916 (BC_2, IO_N16, input, X)," & --  PAD117
	" 917 (BC_2, *, controlr, 1)," &
	" 918 (BC_2, IO_P15, output3, X, 917, 1, PULL0)," & --  PAD116
	" 919 (BC_2, IO_P15, input, X)," & --  PAD116
	" 920 (BC_2, *, controlr, 1)," &
	" 921 (BC_2, IO_P14, output3, X, 920, 1, PULL0)," & --  PAD115
	" 922 (BC_2, IO_P14, input, X)," & --  PAD115
	" 923 (BC_2, *, controlr, 1)," &
	" 924 (BC_2, IO_R16, output3, X, 923, 1, PULL0)," & --  PAD114
	" 925 (BC_2, IO_R16, input, X)," & --  PAD114
	" 926 (BC_2, *, controlr, 1)," &
	" 927 (BC_2, IO_R15, output3, X, 926, 1, PULL0)," & --  PAD113
	" 928 (BC_2, IO_R15, input, X)," & --  PAD113
	" 929 (BC_2, *, controlr, 1)," &
	" 930 (BC_2, IO_M13, output3, X, 929, 1, PULL0)," & --  PAD112
	" 931 (BC_2, IO_M13, input, X)," & --  PAD112
	" 932 (BC_2, *, controlr, 1)," &
	" 933 (BC_2, IO_N13, output3, X, 932, 1, PULL0)," & --  PAD111
	" 934 (BC_2, IO_N13, input, X)," & --  PAD111
	" 935 (BC_2, *, controlr, 1)," &
	" 936 (BC_2, IO_P17, output3, X, 935, 1, PULL0)," & --  PAD110
	" 937 (BC_2, IO_P17, input, X)," & --  PAD110
	" 938 (BC_2, *, controlr, 1)," &
	" 939 (BC_2, IO_R17, output3, X, 938, 1, PULL0)," & --  PAD109
	" 940 (BC_2, IO_R17, input, X)," & --  PAD109
	" 941 (BC_2, *, controlr, 1)," &
	" 942 (BC_2, IO_K14, output3, X, 941, 1, PULL0)," & --  PAD108
	" 943 (BC_2, IO_K14, input, X)," & --  PAD108
	" 944 (BC_2, *, controlr, 1)," &
	" 945 (BC_2, IO_L14, output3, X, 944, 1, PULL0)," & --  PAD107
	" 946 (BC_2, IO_L14, input, X)," & --  PAD107
	" 947 (BC_2, *, controlr, 1)," &
	" 948 (BC_2, IO_N17, output3, X, 947, 1, PULL0)," & --  PAD106
	" 949 (BC_2, IO_N17, input, X)," & --  PAD106
	" 950 (BC_2, *, controlr, 1)," &
	" 951 (BC_2, IO_P18, output3, X, 950, 1, PULL0)," & --  PAD105
	" 952 (BC_2, IO_P18, input, X)," & --  PAD105
	" 953 (BC_2, *, controlr, 1)," &
	" 954 (BC_2, IO_L13, output3, X, 953, 1, PULL0)," & --  PAD104
	" 955 (BC_2, IO_L13, input, X)," & --  PAD104
	" 956 (BC_2, *, controlr, 1)," &
	" 957 (BC_2, IO_M14, output3, X, 956, 1, PULL0)," & --  PAD103
	" 958 (BC_2, IO_M14, input, X)," & --  PAD103
	" 959 (BC_2, *, controlr, 1)," &
	" 960 (BC_2, IO_M18, output3, X, 959, 1, PULL0)," & --  PAD102
	" 961 (BC_2, IO_M18, input, X)," & --  PAD102
	" 962 (BC_2, *, controlr, 1)," &
	" 963 (BC_2, IO_N18, output3, X, 962, 1, PULL0)," & --  PAD101
	" 964 (BC_2, IO_N18, input, X)," & --  PAD101
	" 965 (BC_2, *, controlr, 1)," &
	" 966 (BC_2, IO_L16, output3, X, 965, 1, PULL0)," & --  PAD100
	" 967 (BC_2, IO_L16, input, X)," & --  PAD100
	" 968 (BC_2, *, controlr, 1)," &
	" 969 (BC_2, IO_K16, output3, X, 968, 1, PULL0)," & --  PAD99
	" 970 (BC_2, IO_K16, input, X)," & --  PAD99
	" 971 (BC_2, *, controlr, 1)," &
	" 972 (BC_2, IO_M11, output3, X, 971, 1, PULL0)," & --  PAD98
	" 973 (BC_2, IO_M11, input, X)," & --  PAD98
	" 974 (BC_2, *, controlr, 1)," &
	" 975 (BC_2, IO_N12, output3, X, 974, 1, PULL0)," & --  PAD97
	" 976 (BC_2, IO_N12, input, X)," & --  PAD97
	" 977 (BC_2, *, controlr, 1)," &
	" 978 (BC_2, IO_L17, output3, X, 977, 1, PULL0)," & --  PAD96
	" 979 (BC_2, IO_L17, input, X)," & --  PAD96
	" 980 (BC_2, *, controlr, 1)," &
	" 981 (BC_2, IO_L18, output3, X, 980, 1, PULL0)," & --  PAD95
	" 982 (BC_2, IO_L18, input, X)," & --  PAD95
	" 983 (BC_2, *, controlr, 1)," &
	" 984 (BC_2, IO_H16, output3, X, 983, 1, PULL0)," & --  PAD94
	" 985 (BC_2, IO_H16, input, X)," & --  PAD94
	" 986 (BC_2, *, controlr, 1)," &
	" 987 (BC_2, IO_H15, output3, X, 986, 1, PULL0)," & --  PAD93
	" 988 (BC_2, IO_H15, input, X)," & --  PAD93
	" 989 (BC_2, *, controlr, 1)," &
	" 990 (BC_2, IO_K17, output3, X, 989, 1, PULL0)," & --  PAD92
	" 991 (BC_2, IO_K17, input, X)," & --  PAD92
	" 992 (BC_2, *, controlr, 1)," &
	" 993 (BC_2, IO_J17, output3, X, 992, 1, PULL0)," & --  PAD91
	" 994 (BC_2, IO_J17, input, X)," & --  PAD91
	" 995 (BC_2, *, controlr, 1)," &
	" 996 (BC_2, IO_L12, output3, X, 995, 1, PULL0)," & --  PAD90
	" 997 (BC_2, IO_L12, input, X)," & --  PAD90
	" 998 (BC_2, *, controlr, 1)," &
	" 999 (BC_2, IO_K12, output3, X, 998, 1, PULL0)," & --  PAD89
	"1000 (BC_2, IO_K12, input, X)," & --  PAD89
	"1001 (BC_2, *, controlr, 1)," &
	"1002 (BC_2, IO_J18, output3, X, 1001, 1, PULL0)," & --  PAD88
	"1003 (BC_2, IO_J18, input, X)," & --  PAD88
	"1004 (BC_2, *, controlr, 1)," &
	"1005 (BC_2, IO_H18, output3, X, 1004, 1, PULL0)," & --  PAD87
	"1006 (BC_2, IO_H18, input, X)," & --  PAD87
	"1007 (BC_2, *, controlr, 1)," &
	"1008 (BC_2, IO_K15, output3, X, 1007, 1, PULL0)," & --  PAD86
	"1009 (BC_2, IO_K15, input, X)," & --  PAD86
	"1010 (BC_2, *, controlr, 1)," &
	"1011 (BC_2, IO_J15, output3, X, 1010, 1, PULL0)," & --  PAD85
	"1012 (BC_2, IO_J15, input, X)," & --  PAD85
	"1013 (BC_2, *, controlr, 1)," &
	"1014 (BC_2, IO_G18, output3, X, 1013, 1, PULL0)," & --  PAD84
	"1015 (BC_2, IO_G18, input, X)," & --  PAD84
	"1016 (BC_2, *, controlr, 1)," &
	"1017 (BC_2, IO_H17, output3, X, 1016, 1, PULL0)," & --  PAD83
	"1018 (BC_2, IO_H17, input, X)," & --  PAD83
	"1019 (BC_2, *, controlr, 1)," &
	"1020 (BC_2, IO_J14, output3, X, 1019, 1, PULL0)," & --  PAD82
	"1021 (BC_2, IO_J14, input, X)," & --  PAD82
	"1022 (BC_2, *, controlr, 1)," &
	"1023 (BC_2, IO_H13, output3, X, 1022, 1, PULL0)," & --  PAD81
	"1024 (BC_2, IO_H13, input, X)," & --  PAD81
	"1025 (BC_2, *, controlr, 1)," &
	"1026 (BC_2, IO_C11, output3, X, 1025, 1, PULL0)," & --  PAD80
	"1027 (BC_2, IO_C11, input, X)," & --  PAD80
	"1028 (BC_2, *, controlr, 1)," &
	"1029 (BC_2, IO_B11, output3, X, 1028, 1, PULL0)," & --  PAD79
	"1030 (BC_2, IO_B11, input, X)," & --  PAD79
	"1031 (BC_2, *, controlr, 1)," &
	"1032 (BC_2, IO_D17, output3, X, 1031, 1, PULL0)," & --  PAD78
	"1033 (BC_2, IO_D17, input, X)," & --  PAD78
	"1034 (BC_2, *, controlr, 1)," &
	"1035 (BC_2, IO_D18, output3, X, 1034, 1, PULL0)," & --  PAD77
	"1036 (BC_2, IO_D18, input, X)," & --  PAD77
	"1037 (BC_2, *, controlr, 1)," &
	"1038 (BC_2, IO_F17, output3, X, 1037, 1, PULL0)," & --  PAD76
	"1039 (BC_2, IO_F17, input, X)," & --  PAD76
	"1040 (BC_2, *, controlr, 1)," &
	"1041 (BC_2, IO_F18, output3, X, 1040, 1, PULL0)," & --  PAD75
	"1042 (BC_2, IO_F18, input, X)," & --  PAD75
	"1043 (BC_2, *, controlr, 1)," &
	"1044 (BC_2, IO_B18, output3, X, 1043, 1, PULL0)," & --  PAD74
	"1045 (BC_2, IO_B18, input, X)," & --  PAD74
	"1046 (BC_2, *, controlr, 1)," &
	"1047 (BC_2, IO_C18, output3, X, 1046, 1, PULL0)," & --  PAD73
	"1048 (BC_2, IO_C18, input, X)," & --  PAD73
	"1049 (BC_2, *, controlr, 1)," &
	"1050 (BC_2, IO_E12, output3, X, 1049, 1, PULL0)," & --  PAD72
	"1051 (BC_2, IO_E12, input, X)," & --  PAD72
	"1052 (BC_2, *, controlr, 1)," &
	"1053 (BC_2, IO_D12, output3, X, 1052, 1, PULL0)," & --  PAD71
	"1054 (BC_2, IO_D12, input, X)," & --  PAD71
	"1055 (BC_2, *, controlr, 1)," &
	"1056 (BC_2, IO_C16, output3, X, 1055, 1, PULL0)," & --  PAD70
	"1057 (BC_2, IO_C16, input, X)," & --  PAD70
	"1058 (BC_2, *, controlr, 1)," &
	"1059 (BC_2, IO_C17, output3, X, 1058, 1, PULL0)," & --  PAD69
	"1060 (BC_2, IO_C17, input, X)," & --  PAD69
	"1061 (BC_2, *, controlr, 1)," &
	"1062 (BC_2, IO_E16, output3, X, 1061, 1, PULL0)," & --  PAD68
	"1063 (BC_2, IO_E16, input, X)," & --  PAD68
	"1064 (BC_2, *, controlr, 1)," &
	"1065 (BC_2, IO_E17, output3, X, 1064, 1, PULL0)," & --  PAD67
	"1066 (BC_2, IO_E17, input, X)," & --  PAD67
	"1067 (BC_2, *, controlr, 1)," &
	"1068 (BC_2, IO_A17, output3, X, 1067, 1, PULL0)," & --  PAD66
	"1069 (BC_2, IO_A17, input, X)," & --  PAD66
	"1070 (BC_2, *, controlr, 1)," &
	"1071 (BC_2, IO_A18, output3, X, 1070, 1, PULL0)," & --  PAD65
	"1072 (BC_2, IO_A18, input, X)," & --  PAD65
	"1073 (BC_2, *, controlr, 1)," &
	"1074 (BC_2, IO_G16, output3, X, 1073, 1, PULL0)," & --  PAD64
	"1075 (BC_2, IO_G16, input, X)," & --  PAD64
	"1076 (BC_2, *, controlr, 1)," &
	"1077 (BC_2, IO_F16, output3, X, 1076, 1, PULL0)," & --  PAD63
	"1078 (BC_2, IO_F16, input, X)," & --  PAD63
	"1079 (BC_2, *, controlr, 1)," &
	"1080 (BC_2, IO_A16, output3, X, 1079, 1, PULL0)," & --  PAD62
	"1081 (BC_2, IO_A16, input, X)," & --  PAD62
	"1082 (BC_2, *, controlr, 1)," &
	"1083 (BC_2, IO_B16, output3, X, 1082, 1, PULL0)," & --  PAD61
	"1084 (BC_2, IO_B16, input, X)," & --  PAD61
	"1085 (BC_2, *, controlr, 1)," &
	"1086 (BC_2, IO_B15, output3, X, 1085, 1, PULL0)," & --  PAD60
	"1087 (BC_2, IO_B15, input, X)," & --  PAD60
	"1088 (BC_2, *, controlr, 1)," &
	"1089 (BC_2, IO_C15, output3, X, 1088, 1, PULL0)," & --  PAD59
	"1090 (BC_2, IO_C15, input, X)," & --  PAD59
	"1091 (BC_2, *, controlr, 1)," &
	"1092 (BC_2, IO_F13, output3, X, 1091, 1, PULL0)," & --  PAD58
	"1093 (BC_2, IO_F13, input, X)," & --  PAD58
	"1094 (BC_2, *, controlr, 1)," &
	"1095 (BC_2, IO_G13, output3, X, 1094, 1, PULL0)," & --  PAD57
	"1096 (BC_2, IO_G13, input, X)," & --  PAD57
	"1097 (BC_2, *, controlr, 1)," &
	"1098 (BC_2, IO_A14, output3, X, 1097, 1, PULL0)," & --  PAD56
	"1099 (BC_2, IO_A14, input, X)," & --  PAD56
	"1100 (BC_2, *, controlr, 1)," &
	"1101 (BC_2, IO_B14, output3, X, 1100, 1, PULL0)," & --  PAD55
	"1102 (BC_2, IO_B14, input, X)," & --  PAD55
	"1103 (BC_2, *, controlr, 1)," &
	"1104 (BC_2, IO_G15, output3, X, 1103, 1, PULL0)," & --  PAD54
	"1105 (BC_2, IO_G15, input, X)," & --  PAD54
	"1106 (BC_2, *, controlr, 1)," &
	"1107 (BC_2, IO_G14, output3, X, 1106, 1, PULL0)," & --  PAD53
	"1108 (BC_2, IO_G14, input, X)," & --  PAD53
	"1109 (BC_2, *, controlr, 1)," &
	"1110 (BC_2, IO_A13, output3, X, 1109, 1, PULL0)," & --  PAD52
	"1111 (BC_2, IO_A13, input, X)," & --  PAD52
	"1112 (BC_2, *, controlr, 1)," &
	"1113 (BC_2, IO_B13, output3, X, 1112, 1, PULL0)," & --  PAD51
	"1114 (BC_2, IO_B13, input, X)," & --  PAD51
	"1115 (BC_2, *, controlr, 1)," &
	"1116 (BC_2, IO_C13, output3, X, 1115, 1, PULL0)," & --  PAD50
	"1117 (BC_2, IO_C13, input, X)," & --  PAD50
	"1118 (BC_2, *, controlr, 1)," &
	"1119 (BC_2, IO_C12, output3, X, 1118, 1, PULL0)," & --  PAD49
	"1120 (BC_2, IO_C12, input, X)," & --  PAD49
	"1121 (BC_2, *, controlr, 1)," &
	"1122 (BC_2, IO_A12, output3, X, 1121, 1, PULL0)," & --  PAD48
	"1123 (BC_2, IO_A12, input, X)," & --  PAD48
	"1124 (BC_2, *, controlr, 1)," &
	"1125 (BC_2, IO_A11, output3, X, 1124, 1, PULL0)," & --  PAD47
	"1126 (BC_2, IO_A11, input, X)," & --  PAD47
	"1127 (BC_2, *, controlr, 1)," &
	"1128 (BC_2, IO_F14, output3, X, 1127, 1, PULL0)," & --  PAD46
	"1129 (BC_2, IO_F14, input, X)," & --  PAD46
	"1130 (BC_2, *, controlr, 1)," &
	"1131 (BC_2, IO_E14, output3, X, 1130, 1, PULL0)," & --  PAD45
	"1132 (BC_2, IO_E14, input, X)," & --  PAD45
	"1133 (BC_2, *, controlr, 1)," &
	"1134 (BC_2, IO_D15, output3, X, 1133, 1, PULL0)," & --  PAD44
	"1135 (BC_2, IO_D15, input, X)," & --  PAD44
	"1136 (BC_2, *, controlr, 1)," &
	"1137 (BC_2, IO_E15, output3, X, 1136, 1, PULL0)," & --  PAD43
	"1138 (BC_2, IO_E15, input, X)," & --  PAD43
	"1139 (BC_2, *, controlr, 1)," &
	"1140 (BC_2, IO_D14, output3, X, 1139, 1, PULL0)," & --  PAD42
	"1141 (BC_2, IO_D14, input, X)," & --  PAD42
	"1142 (BC_2, *, controlr, 1)," &
	"1143 (BC_2, IO_D13, output3, X, 1142, 1, PULL0)," & --  PAD41
	"1144 (BC_2, IO_D13, input, X)," & --  PAD41
	"1145 (BC_2, *, internal, 1)," & --  PAD40.T
	"1146 (BC_2, *, internal, X)," & --  PAD40.O
	"1147 (BC_2, *, internal, X)," & --  PAD40.I
	"1148 (BC_2, *, internal, 1)," & --  PAD39.T
	"1149 (BC_2, *, internal, X)," & --  PAD39.O
	"1150 (BC_2, *, internal, X)," & --  PAD39.I
	"1151 (BC_2, *, internal, 1)," & --  PAD38.T
	"1152 (BC_2, *, internal, X)," & --  PAD38.O
	"1153 (BC_2, *, internal, X)," & --  PAD38.I
	"1154 (BC_2, *, internal, 1)," & --  PAD37.T
	"1155 (BC_2, *, internal, X)," & --  PAD37.O
	"1156 (BC_2, *, internal, X)," & --  PAD37.I
	"1157 (BC_2, *, internal, 1)," & --  PAD36.T
	"1158 (BC_2, *, internal, X)," & --  PAD36.O
	"1159 (BC_2, *, internal, X)," & --  PAD36.I
	"1160 (BC_2, *, internal, 1)," & --  PAD35.T
	"1161 (BC_2, *, internal, X)," & --  PAD35.O
	"1162 (BC_2, *, internal, X)," & --  PAD35.I
	"1163 (BC_2, *, internal, 1)," & --  PAD34.T
	"1164 (BC_2, *, internal, X)," & --  PAD34.O
	"1165 (BC_2, *, internal, X)," & --  PAD34.I
	"1166 (BC_2, *, internal, 1)," & --  PAD33.T
	"1167 (BC_2, *, internal, X)," & --  PAD33.O
	"1168 (BC_2, *, internal, X)," & --  PAD33.I
	"1169 (BC_2, *, internal, 1)," & --  PAD32.T
	"1170 (BC_2, *, internal, X)," & --  PAD32.O
	"1171 (BC_2, *, internal, X)," & --  PAD32.I
	"1172 (BC_2, *, internal, 1)," & --  PAD31.T
	"1173 (BC_2, *, internal, X)," & --  PAD31.O
	"1174 (BC_2, *, internal, X)," & --  PAD31.I
	"1175 (BC_2, *, internal, 1)," & --  PAD30.T
	"1176 (BC_2, *, internal, X)," & --  PAD30.O
	"1177 (BC_2, *, internal, X)," & --  PAD30.I
	"1178 (BC_2, *, internal, 1)," & --  PAD29.T
	"1179 (BC_2, *, internal, X)," & --  PAD29.O
	"1180 (BC_2, *, internal, X)," & --  PAD29.I
	"1181 (BC_2, *, internal, 1)," & --  PAD28.T
	"1182 (BC_2, *, internal, X)," & --  PAD28.O
	"1183 (BC_2, *, internal, X)," & --  PAD28.I
	"1184 (BC_2, *, internal, 1)," & --  PAD27.T
	"1185 (BC_2, *, internal, X)," & --  PAD27.O
	"1186 (BC_2, *, internal, X)," & --  PAD27.I
	"1187 (BC_2, *, internal, 1)," & --  PAD26.T
	"1188 (BC_2, *, internal, X)," & --  PAD26.O
	"1189 (BC_2, *, internal, X)," & --  PAD26.I
	"1190 (BC_2, *, internal, 1)," & --  PAD25.T
	"1191 (BC_2, *, internal, X)," & --  PAD25.O
	"1192 (BC_2, *, internal, X)," & --  PAD25.I
	"1193 (BC_2, *, internal, 1)," & --  PAD24.T
	"1194 (BC_2, *, internal, X)," & --  PAD24.O
	"1195 (BC_2, *, internal, X)," & --  PAD24.I
	"1196 (BC_2, *, internal, 1)," & --  PAD23.T
	"1197 (BC_2, *, internal, X)," & --  PAD23.O
	"1198 (BC_2, *, internal, X)," & --  PAD23.I
	"1199 (BC_2, *, internal, 1)," & --  PAD22.T
	"1200 (BC_2, *, internal, X)," & --  PAD22.O
	"1201 (BC_2, *, internal, X)," & --  PAD22.I
	"1202 (BC_2, *, internal, 1)," & --  PAD21.T
	"1203 (BC_2, *, internal, X)," & --  PAD21.O
	"1204 (BC_2, *, internal, X)," & --  PAD21.I
	"1205 (BC_2, *, internal, 1)," & --  PAD20.T
	"1206 (BC_2, *, internal, X)," & --  PAD20.O
	"1207 (BC_2, *, internal, X)," & --  PAD20.I
	"1208 (BC_2, *, internal, 1)," & --  PAD19.T
	"1209 (BC_2, *, internal, X)," & --  PAD19.O
	"1210 (BC_2, *, internal, X)," & --  PAD19.I
	"1211 (BC_2, *, internal, 1)," & --  PAD18.T
	"1212 (BC_2, *, internal, X)," & --  PAD18.O
	"1213 (BC_2, *, internal, X)," & --  PAD18.I
	"1214 (BC_2, *, internal, 1)," & --  PAD17.T
	"1215 (BC_2, *, internal, X)," & --  PAD17.O
	"1216 (BC_2, *, internal, X)," & --  PAD17.I
	"1217 (BC_2, *, internal, 1)," & --  PAD16.T
	"1218 (BC_2, *, internal, X)," & --  PAD16.O
	"1219 (BC_2, *, internal, X)," & --  PAD16.I
	"1220 (BC_2, *, internal, 1)," & --  PAD15.T
	"1221 (BC_2, *, internal, X)," & --  PAD15.O
	"1222 (BC_2, *, internal, X)," & --  PAD15.I
	"1223 (BC_2, *, internal, 1)," & --  PAD14.T
	"1224 (BC_2, *, internal, X)," & --  PAD14.O
	"1225 (BC_2, *, internal, X)," & --  PAD14.I
	"1226 (BC_2, *, internal, 1)," & --  PAD13.T
	"1227 (BC_2, *, internal, X)," & --  PAD13.O
	"1228 (BC_2, *, internal, X)," & --  PAD13.I
	"1229 (BC_2, *, internal, 1)," & --  PAD12.T
	"1230 (BC_2, *, internal, X)," & --  PAD12.O
	"1231 (BC_2, *, internal, X)," & --  PAD12.I
	"1232 (BC_2, *, internal, 1)," & --  PAD11.T
	"1233 (BC_2, *, internal, X)," & --  PAD11.O
	"1234 (BC_2, *, internal, X)," & --  PAD11.I
	"1235 (BC_2, *, internal, 1)," & --  PAD10.T
	"1236 (BC_2, *, internal, X)," & --  PAD10.O
	"1237 (BC_2, *, internal, X)," & --  PAD10.I
	"1238 (BC_2, *, internal, 1)," & --  PAD9.T
	"1239 (BC_2, *, internal, X)," & --  PAD9.O
	"1240 (BC_2, *, internal, X)," & --  PAD9.I
	"1241 (BC_2, *, internal, 1)," & --  PAD8.T
	"1242 (BC_2, *, internal, X)," & --  PAD8.O
	"1243 (BC_2, *, internal, X)," & --  PAD8.I
	"1244 (BC_2, *, internal, 1)," & --  PAD7.T
	"1245 (BC_2, *, internal, X)," & --  PAD7.O
	"1246 (BC_2, *, internal, X)," & --  PAD7.I
	"1247 (BC_2, *, internal, 1)," & --  PAD6.T
	"1248 (BC_2, *, internal, X)," & --  PAD6.O
	"1249 (BC_2, *, internal, X)," & --  PAD6.I
	"1250 (BC_2, *, internal, 1)," & --  PAD5.T
	"1251 (BC_2, *, internal, X)," & --  PAD5.O
	"1252 (BC_2, *, internal, X)," & --  PAD5.I
	"1253 (BC_2, *, internal, 1)," & --  PAD4.T
	"1254 (BC_2, *, internal, X)," & --  PAD4.O
	"1255 (BC_2, *, internal, X)," & --  PAD4.I
	"1256 (BC_2, *, internal, 1)," & --  PAD3.T
	"1257 (BC_2, *, internal, X)," & --  PAD3.O
	"1258 (BC_2, *, internal, X)," & --  PAD3.I
	"1259 (BC_2, *, internal, 1)," & --  PAD2.T
	"1260 (BC_2, *, internal, X)," & --  PAD2.O
	"1261 (BC_2, *, internal, X)," & --  PAD2.I
	"1262 (BC_2, *, internal, 1)," & --  PAD1.T
	"1263 (BC_2, *, internal, X)," & --  PAD1.O
	"1264 (BC_2, *, internal, X)"; --  PAD1.I


-- Design Warning Section

attribute DESIGN_WARNING of XC5VLX30T_FF323 : entity is
        "This is a preliminary BSDL file which has not been verified." &
	"When no bitstream is loaded and GTPs are not instantiated," &
		"the boundary-scan cells associated with GTPs will not" &
		"capture correct state information.  To model the boundary-" &
		"scan cell behavior correctly post-configuration, use" &
		"BSDLanno to modify the BSDL file." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "To avoid losing the current configuration, the boundary scan" &
                "test vectors should keep the PROG_B pin" &
                "high.  If the PROG_B pin goes low by any means," &
                "the configuration will be cleared." &
        "PROG_B can only be captured, not updated." &
                "The value at the pin is always used by the device." &
        "The disable result of a 3-stated I/O in this file" &
                "correspond to HSWAP_EN being high.  When HSWAP_EN" &
                "is low, change all PULL0s to PULL1." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control value is not captured properly when" &
                "GTS is activated." &
	"NOCONNECT pins should not be connected to any supply" &
		"or GND.  They should be left floating.";

end XC5VLX30T_FF323;