BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XC6VCX75T_FF484 latest version

-- (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of 
-- liability) for any loss or damage of any kind or nature
-- releated to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitiations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- BSDL file for device XC6VCX75T, package FF484
-- Generated by bsdlnet Version 1.4
------------------------------------------------------------------------
-- Modification History
-- | Generated on 12/10/08
-- | CR # N/A
-- | Details -  Initial Release
------------------------------------------------------------------------
-- | Generated on 3/16/08
-- | CR # N/A
-- | Details -  Modified AIO as per feedback from JTAG Tech.
------------------------------------------------------------------------
-- | Generated on 3/20/08
-- | CR # 513097
-- | Details -  Changed ISC_PROGRAM_SECURITY to ISC_PROGRAM_KEY
------------------------------------------------------------------------
-- | Generated on 5/20/09
-- | CR # N/A
-- | Details -  Changed HSWAPEN to safe value 0 (vs X).
------------------------------------------------------------------------
-- | Generated on 7/9/09
-- | CR # N/A
-- | Details -  Removed AC_SELX internal register from GTH, and re-ordered
-- |		the GTH boundary registers.
------------------------------------------------------------------------
-- | Generated on 7/29/09
-- | CR # 527514
-- | Details -  Updated tap scan clk frequencey from 33MHz to 66MHz
-- |		to match data sheet.  Also added AIO attributes for HXT.
------------------------------------------------------------------------
-- | Generated on 9/30/09
-- | CR # 532987
-- | Details -  Updated GTH power pin names to be consistent with other
-- |		Xilinx families.
------------------------------------------------------------------------
-- | Generated on 1/19/10
-- | CR # 545171
-- | Details -  Added design warning for TCK min freq at 0C.
------------------------------------------------------------------------
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- or contact our technical support at:
--
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	+44 870 7350 610	eurosupport@xilinx.com
--	France		(33) 1 3463 0100	eurosupport@xilinx.com
--	Germany		(49) 89 991 54930	eurosupport@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- To prevent losing the current configuration, the boundary scan
-- test vectors should keep the PROGRAM_B pin high.
--
-- PROGRAM_B can only be captured, not updated.  The value
-- at the pin is always used by the device.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an CMOS
-- input buffer. In order to properly capture a logic high value at one
-- of these IOBs into its input boundary scan cell, please refer to the
-- datasheet and user guide for proper input levels.
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- HSWAPEN should be set low for this file.

----------------------------------

-- BSDL File for P1149.6 Standard.

----------------------------------
-- ----------------------------------------------------------------------
-- This BSDL file has been checked and verified by JTAG Technologies B.V.
-- on 2010-01-19, for syntactical and semantic compliance with
-- IEEE standards 1149.1 and 1149.6
-- using bsdl32.dll  1.6.0.5 - 20090604 Win32
-- copyright (c) 2009 JTAG Technologies B.V., All rights reserved
-- ----------------------------------------------------------------------

entity XC6VCX75T_FF484 is

-- Generic Parameter

generic (PHYSICAL_PIN_MAP : string := "FF484" );

-- Logical Port Description

port (
	AVDD_K12: linkage bit; --  AVDD_0
	AVSS_K11: linkage bit; --  AVSS_0
	CCLK_M6: inout bit; --  CCLK_0
	CSI_B_M7: in bit; --  CSI_B_0
	DIN_Y5: in bit; --  DIN_0
	DONE_K6: inout bit; --  DONE_0
	DOUT_BUSY_N6: out bit; --  DOUT_BUSY_0
	GND: linkage bit_vector (1 to 100);
	HSWAPEN: in bit; --  HSWAPEN_0
	INIT_B_H5: inout bit; --  INIT_B_0
	M0_H7: in bit; --  M0_0
	M1_J7: in bit; --  M1_0
	M2_H6: in bit; --  M2_0
	MGTAVCC: linkage bit_vector (1 to 8);
	MGTAVTT: linkage bit_vector (1 to 10);
	MGTAVTTRCAL_115: linkage bit;
	MGTREFCLK0N_114: linkage bit;
	MGTREFCLK0N_115: linkage bit;
	MGTREFCLK0P_114: linkage bit;
	MGTREFCLK0P_115: linkage bit;
	MGTREFCLK1N_114: linkage bit;
	MGTREFCLK1N_115: linkage bit;
	MGTREFCLK1P_114: linkage bit;
	MGTREFCLK1P_115: linkage bit;
	MGTRREF_115: linkage bit;
	MGTRXN0_114: in bit;
	MGTRXN0_115: in bit;
	MGTRXN1_114: in bit;
	MGTRXN1_115: in bit;
	MGTRXN2_114: in bit;
	MGTRXN2_115: in bit;
	MGTRXN3_114: in bit;
	MGTRXN3_115: in bit;
	MGTRXP0_114: in bit;
	MGTRXP0_115: in bit;
	MGTRXP1_114: in bit;
	MGTRXP1_115: in bit;
	MGTRXP2_114: in bit;
	MGTRXP2_115: in bit;
	MGTRXP3_114: in bit;
	MGTRXP3_115: in bit;
	MGTTXN0_114: buffer bit;
	MGTTXN0_115: buffer bit;
	MGTTXN1_114: buffer bit;
	MGTTXN1_115: buffer bit;
	MGTTXN2_114: buffer bit;
	MGTTXN2_115: buffer bit;
	MGTTXN3_114: buffer bit;
	MGTTXN3_115: buffer bit;
	MGTTXP0_114: buffer bit;
	MGTTXP0_115: buffer bit;
	MGTTXP1_114: buffer bit;
	MGTTXP1_115: buffer bit;
	MGTTXP2_114: buffer bit;
	MGTTXP2_115: buffer bit;
	MGTTXP3_114: buffer bit;
	MGTTXP3_115: buffer bit;
	PROGRAM_B: in bit; --  PROGRAM_B_0
	RDWR_B_V5: in bit; --  RDWR_B_0
	TCK: in bit; --  TCK_0
	TDI: in bit; --  TDI_0
	TDN_N11: linkage bit; --  DXN_0
	TDO: out bit; --  TDO_0
	TDP_N12: linkage bit; --  DXP_0
	TMS: in bit; --  TMS_0
	VBATT_T5: linkage bit; --  VBATT_0
	VCCAUX: linkage bit_vector (1 to 8);
	VCCINT: linkage bit_vector (1 to 18);
	VCCO_0: linkage bit_vector (1 to 2);
	VCCO_14: linkage bit_vector (1 to 5);
	VCCO_15: linkage bit_vector (1 to 5);
	VCCO_24: linkage bit_vector (1 to 5);
	VCCO_25: linkage bit_vector (1 to 5);
	VCCO_34: linkage bit_vector (1 to 5);
	VCCO_35: linkage bit_vector (1 to 5);
	VFS_0: linkage bit;
	VN_M11: linkage bit; --  VN_0
	VP_L12: linkage bit; --  VP_0
	VREFN_L11: linkage bit; --  VREFN_0
	VREFP_M12: linkage bit; --  VREFP_0
	IO_A6: inout bit; --  PAD294
	IO_A7: inout bit; --  PAD293
	IO_A8: inout bit; --  PAD291
	IO_A9: inout bit; --  PAD287
	IO_A11: inout bit; --  PAD282
	IO_A12: inout bit; --  PAD281
	IO_A13: inout bit; --  PAD185
	IO_A14: inout bit; --  PAD165
	IO_A16: inout bit; --  PAD171
	IO_A17: inout bit; --  PAD175
	IO_A18: inout bit; --  PAD176
	IO_A19: inout bit; --  PAD181
	IO_A21: inout bit; --  PAD45
	IO_B6: inout bit; --  PAD296
	IO_B8: inout bit; --  PAD292
	IO_B9: inout bit; --  PAD288
	IO_B10: inout bit; --  PAD283
	IO_B11: inout bit; --  PAD286
	IO_B13: inout bit; --  PAD186
	IO_B14: inout bit; --  PAD166
	IO_B15: inout bit; --  PAD163
	IO_B16: inout bit; --  PAD172
	IO_B18: inout bit; --  PAD187
	IO_B19: inout bit; --  PAD182
	IO_B20: inout bit; --  PAD41
	IO_B21: inout bit; --  PAD46
	IO_B22: inout bit; --  PAD51
	IO_C6: inout bit; --  PAD295
	IO_C7: inout bit; --  PAD302
	IO_C8: inout bit; --  PAD301
	IO_C10: inout bit; --  PAD284
	IO_C11: inout bit; --  PAD285
	IO_C12: inout bit; --  PAD318
	IO_C13: inout bit; --  PAD177
	IO_C15: inout bit; --  PAD164
	IO_C16: inout bit; --  PAD183
	IO_C17: inout bit; --  PAD184
	IO_C18: inout bit; --  PAD188
	IO_C20: inout bit; --  PAD42
	IO_C21: inout bit; --  PAD52
	IO_C22: inout bit; --  PAD61
	IO_D7: inout bit; --  PAD304
	IO_D8: inout bit; --  PAD303
	IO_D9: inout bit; --  PAD300
	IO_D10: inout bit; --  PAD289
	IO_D12: inout bit; --  PAD317
	IO_D13: inout bit; --  PAD178
	IO_D14: inout bit; --  PAD161
	IO_D15: inout bit; --  PAD167
	IO_D17: inout bit; --  PAD195
	IO_D18: inout bit; --  PAD196
	IO_D19: inout bit; --  PAD56
	IO_D20: inout bit; --  PAD59
	IO_D22: inout bit; --  PAD62
	IO_E6: inout bit; --  PAD308
	IO_E7: inout bit; --  PAD307
	IO_E9: inout bit; --  PAD299
	IO_E10: inout bit; --  PAD290
	IO_E11: inout bit; --  PAD309
	IO_E12: inout bit; --  PAD174
	IO_E14: inout bit; --  PAD162
	IO_E15: inout bit; --  PAD168
	IO_E16: inout bit; --  PAD179
	IO_E17: inout bit; --  PAD199
	IO_E19: inout bit; --  PAD55
	IO_E20: inout bit; --  PAD60
	IO_E21: inout bit; --  PAD63
	IO_E22: inout bit; --  PAD64
	IO_F6: inout bit; --  PAD319
	IO_F7: inout bit; --  PAD312
	IO_F8: inout bit; --  PAD311
	IO_F9: inout bit; --  PAD305
	IO_F11: inout bit; --  PAD310
	IO_F12: inout bit; --  PAD173
	IO_F13: inout bit; --  PAD169
	IO_F14: inout bit; --  PAD190
	IO_F16: inout bit; --  PAD180
	IO_F17: inout bit; --  PAD200
	IO_F18: inout bit; --  PAD44
	IO_F19: inout bit; --  PAD48
	IO_F21: inout bit; --  PAD65
	IO_F22: inout bit; --  PAD66
	IO_G6: inout bit; --  PAD320
	IO_G8: inout bit; --  PAD315
	IO_G9: inout bit; --  PAD306
	IO_G10: inout bit; --  PAD297
	IO_G11: inout bit; --  PAD313
	IO_G13: inout bit; --  PAD170
	IO_G14: inout bit; --  PAD189
	IO_G15: inout bit; --  PAD197
	IO_G16: inout bit; --  PAD191
	IO_G18: inout bit; --  PAD43
	IO_G19: inout bit; --  PAD47
	IO_G20: inout bit; --  PAD76
	IO_G21: inout bit; --  PAD71
	IO_H8: inout bit; --  PAD316
	IO_H10: inout bit; --  PAD298
	IO_H11: inout bit; --  PAD314
	IO_H12: inout bit; --  PAD193
	IO_H13: inout bit; --  PAD194
	IO_H15: inout bit; --  PAD198
	IO_H16: inout bit; --  PAD192
	IO_H17: inout bit; --  PAD69
	IO_H18: inout bit; --  PAD70
	IO_H20: inout bit; --  PAD75
	IO_H21: inout bit; --  PAD72
	IO_H22: inout bit; --  PAD78
	IO_J17: inout bit; --  PAD50
	IO_J18: inout bit; --  PAD49
	IO_J19: inout bit; --  PAD58
	IO_J20: inout bit; --  PAD67
	IO_J22: inout bit; --  PAD77
	IO_K17: inout bit; --  PAD53
	IO_K19: inout bit; --  PAD57
	IO_K20: inout bit; --  PAD68
	IO_K21: inout bit; --  PAD79
	IO_K22: inout bit; --  PAD80
	IO_L17: inout bit; --  PAD54
	IO_L18: inout bit; --  PAD73
	IO_L19: inout bit; --  PAD74
	IO_L21: inout bit; --  PAD88
	IO_L22: inout bit; --  PAD87
	IO_M18: inout bit; --  PAD81
	IO_M19: inout bit; --  PAD84
	IO_M20: inout bit; --  PAD83
	IO_M21: inout bit; --  PAD95
	IO_N17: inout bit; --  PAD93
	IO_N18: inout bit; --  PAD82
	IO_N20: inout bit; --  PAD96
	IO_N21: inout bit; --  PAD86
	IO_N22: inout bit; --  PAD85
	IO_P17: inout bit; --  PAD94
	IO_P18: inout bit; --  PAD105
	IO_P19: inout bit; --  PAD99
	IO_P20: inout bit; --  PAD100
	IO_P22: inout bit; --  PAD89
	IO_R9: inout bit; --  PAD325
	IO_R14: inout bit; --  PAD225
	IO_R15: inout bit; --  PAD226
	IO_R16: inout bit; --  PAD206
	IO_R17: inout bit; --  PAD106
	IO_R19: inout bit; --  PAD103
	IO_R20: inout bit; --  PAD104
	IO_R21: inout bit; --  PAD91
	IO_R22: inout bit; --  PAD90
	IO_T6: inout bit; --  PAD323
	IO_T7: inout bit; --  PAD324
	IO_T8: inout bit; --  PAD326
	IO_T9: inout bit; --  PAD347
	IO_T11: inout bit; --  PAD330
	IO_T12: inout bit; --  PAD329
	IO_T13: inout bit; --  PAD201
	IO_T14: inout bit; --  PAD231
	IO_T16: inout bit; --  PAD205
	IO_T17: inout bit; --  PAD203
	IO_T18: inout bit; --  PAD115
	IO_T19: inout bit; --  PAD116
	IO_T21: inout bit; --  PAD98
	IO_T22: inout bit; --  PAD92
	IO_U6: inout bit; --  PAD327
	IO_U8: inout bit; --  PAD334
	IO_U9: inout bit; --  PAD344
	IO_U10: inout bit; --  PAD348
	IO_U11: inout bit; --  PAD355
	IO_U13: inout bit; --  PAD202
	IO_U14: inout bit; --  PAD232
	IO_U15: inout bit; --  PAD227
	IO_U16: inout bit; --  PAD214
	IO_U18: inout bit; --  PAD204
	IO_U19: inout bit; --  PAD107
	IO_U20: inout bit; --  PAD108
	IO_U21: inout bit; --  PAD97
	IO_V6: inout bit; --  PAD328
	IO_V7: inout bit; --  PAD331
	IO_V8: inout bit; --  PAD333
	IO_V10: inout bit; --  PAD343
	IO_V11: inout bit; --  PAD356
	IO_V12: inout bit; --  PAD321
	IO_V13: inout bit; --  PAD209
	IO_V15: inout bit; --  PAD228
	IO_V16: inout bit; --  PAD213
	IO_V17: inout bit; --  PAD207
	IO_V18: inout bit; --  PAD208
	IO_V20: inout bit; --  PAD119
	IO_V21: inout bit; --  PAD120
	IO_V22: inout bit; --  PAD102
	IO_W7: inout bit; --  PAD332
	IO_W8: inout bit; --  PAD346
	IO_W9: inout bit; --  PAD345
	IO_W10: inout bit; --  PAD349
	IO_W12: inout bit; --  PAD322
	IO_W13: inout bit; --  PAD210
	IO_W14: inout bit; --  PAD236
	IO_W15: inout bit; --  PAD229
	IO_W17: inout bit; --  PAD212
	IO_W18: inout bit; --  PAD211
	IO_W19: inout bit; --  PAD215
	IO_W20: inout bit; --  PAD118
	IO_W22: inout bit; --  PAD101
	IO_Y6: inout bit; --  PAD335
	IO_Y7: inout bit; --  PAD336
	IO_Y9: inout bit; --  PAD342
	IO_Y10: inout bit; --  PAD350
	IO_Y11: inout bit; --  PAD358
	IO_Y12: inout bit; --  PAD353
	IO_Y14: inout bit; --  PAD235
	IO_Y15: inout bit; --  PAD230
	IO_Y16: inout bit; --  PAD224
	IO_Y17: inout bit; --  PAD221
	IO_Y19: inout bit; --  PAD216
	IO_Y20: inout bit; --  PAD117
	IO_Y21: inout bit; --  PAD112
	IO_Y22: inout bit; --  PAD109
	IO_AA6: inout bit; --  PAD338
	IO_AA7: inout bit; --  PAD339
	IO_AA8: inout bit; --  PAD340
	IO_AA9: inout bit; --  PAD341
	IO_AA11: inout bit; --  PAD357
	IO_AA12: inout bit; --  PAD354
	IO_AA13: inout bit; --  PAD234
	IO_AA14: inout bit; --  PAD238
	IO_AA16: inout bit; --  PAD223
	IO_AA17: inout bit; --  PAD222
	IO_AA18: inout bit; --  PAD218
	IO_AA19: inout bit; --  PAD219
	IO_AA21: inout bit; --  PAD111
	IO_AA22: inout bit; --  PAD110
	IO_AB6: inout bit; --  PAD337
	IO_AB8: inout bit; --  PAD352
	IO_AB9: inout bit; --  PAD351
	IO_AB10: inout bit; --  PAD360
	IO_AB11: inout bit; --  PAD359
	IO_AB13: inout bit; --  PAD233
	IO_AB14: inout bit; --  PAD237
	IO_AB15: inout bit; --  PAD239
	IO_AB16: inout bit; --  PAD240
	IO_AB18: inout bit; --  PAD217
	IO_AB19: inout bit; --  PAD220
	IO_AB20: inout bit; --  PAD113
	IO_AB21: inout bit --  PAD114
); --end port list

-- Use Statements

use STD_1149_1_2001.all;
use STD_1149_6_2003.all;

-- Component Conformance Statement(s)

attribute COMPONENT_CONFORMANCE of XC6VCX75T_FF484 : entity is
	"STD_1149_1_2001";

-- Device Package Pin Mappings

attribute PIN_MAP of XC6VCX75T_FF484 : entity is PHYSICAL_PIN_MAP;

constant FF484: PIN_MAP_STRING:=
	"AVDD_K12:K12," &
	"AVSS_K11:K11," &
	"CCLK_M6:M6," &
	"CSI_B_M7:M7," &
	"DIN_Y5:Y5," &
	"DONE_K6:K6," &
	"DOUT_BUSY_N6:N6," &
	"GND:(A1,A2,A5,A15,A22,B4,B5,B12,C1,C5," &
		"C9,C19,D3,D5,D6,D16,E1,E5,E13,F3," &
		"F10,F20,G1,G5,G7,G17,H3,H14,J1,J2," &
		"J5,J6,J9,J11,J13,J15,J21,K3,K5,K8," &
		"K10,K14,K16,K18,L1,L2,L5,L9,L13,L15," &
		"M3,M5,M8,M10,M14,M16,M22,N1,N4,N5," &
		"N9,N13,N15,N19,P3,P5,P8,P10,P12,P14," &
		"P16,R1,R2,R5,R11,R13,T3,T10,T20,U1," &
		"U2,U5,U7,U17,V3,V14,W1,W5,W11,W21," &
		"Y4,Y8,Y18,AA1,AA5,AA15,AB4,AB5,AB12,AB22)," &
	"HSWAPEN:L6," &
	"INIT_B_H5:H5," &
	"M0_H7:H7," &
	"M1_J7:J7," &
	"M2_H6:H6," &
	"MGTAVCC:(D4,F4,H4,K4,M4,P4,T4,V4)," &
	"MGTAVTT:(B3,C2,E2,G2,N2,N3,W2,Y3,AA2,AB3)," &
	"MGTAVTTRCAL_115:A4," &
	"MGTREFCLK0N_114:U3," &
	"MGTREFCLK0N_115:L3," &
	"MGTREFCLK0P_114:U4," &
	"MGTREFCLK0P_115:L4," &
	"MGTREFCLK1N_114:R3," &
	"MGTREFCLK1N_115:J3," &
	"MGTREFCLK1P_114:R4," &
	"MGTREFCLK1P_115:J4," &
	"MGTRREF_115:A3," &
	"MGTRXN0_114:AB2," &
	"MGTRXN0_115:G4," &
	"MGTRXN1_114:AA4," &
	"MGTRXN1_115:E4," &
	"MGTRXN2_114:Y2," &
	"MGTRXN2_115:C4," &
	"MGTRXN3_114:W4," &
	"MGTRXN3_115:B2," &
	"MGTRXP0_114:AB1," &
	"MGTRXP0_115:G3," &
	"MGTRXP1_114:AA3," &
	"MGTRXP1_115:E3," &
	"MGTRXP2_114:Y1," &
	"MGTRXP2_115:C3," &
	"MGTRXP3_114:W3," &
	"MGTRXP3_115:B1," &
	"MGTTXN0_114:V2," &
	"MGTTXN0_115:K2," &
	"MGTTXN1_114:T2," &
	"MGTTXN1_115:H2," &
	"MGTTXN2_114:P2," &
	"MGTTXN2_115:F2," &
	"MGTTXN3_114:M2," &
	"MGTTXN3_115:D2," &
	"MGTTXP0_114:V1," &
	"MGTTXP0_115:K1," &
	"MGTTXP1_114:T1," &
	"MGTTXP1_115:H1," &
	"MGTTXP2_114:P1," &
	"MGTTXP2_115:F1," &
	"MGTTXP3_114:M1," &
	"MGTTXP3_115:D1," &
	"PROGRAM_B:F5," &
	"RDWR_B_V5:V5," &
	"TCK:R6," &
	"TDI:P7," &
	"TDN_N11:N11," &
	"TDO:R7," &
	"TDP_N12:N12," &
	"TMS:N7," &
	"VBATT_T5:T5," &
	"VCCAUX:(J8,J16,L8,L16,N8,N16,P15,R8)," &
	"VCCINT:(J10,J12,J14,K9,K13,K15,L10,L14,M9,M13," &
		"M15,N10,N14,P9,P11,P13,R10,R12)," &
	"VCCO_0:(K7,P6)," &
	"VCCO_14:(M17,P21,R18,U22,AA20)," &
	"VCCO_15:(A20,D21,G22,H19,L20)," &
	"VCCO_24:(T15,V19,W16,Y13,AB17)," &
	"VCCO_25:(B17,C14,E18,F15,G12)," &
	"VCCO_34:(U12,V9,W6,AA10,AB7)," &
	"VCCO_35:(A10,B7,D11,E8,H9)," &
	"VFS_0:L7," &
	"VN_M11:M11," &
	"VP_L12:L12," &
	"VREFN_L11:L11," &
	"VREFP_M12:M12," &
	"IO_A6:A6," &
	"IO_A7:A7," &
	"IO_A8:A8," &
	"IO_A9:A9," &
	"IO_A11:A11," &
	"IO_A12:A12," &
	"IO_A13:A13," &
	"IO_A14:A14," &
	"IO_A16:A16," &
	"IO_A17:A17," &
	"IO_A18:A18," &
	"IO_A19:A19," &
	"IO_A21:A21," &
	"IO_B6:B6," &
	"IO_B8:B8," &
	"IO_B9:B9," &
	"IO_B10:B10," &
	"IO_B11:B11," &
	"IO_B13:B13," &
	"IO_B14:B14," &
	"IO_B15:B15," &
	"IO_B16:B16," &
	"IO_B18:B18," &
	"IO_B19:B19," &
	"IO_B20:B20," &
	"IO_B21:B21," &
	"IO_B22:B22," &
	"IO_C6:C6," &
	"IO_C7:C7," &
	"IO_C8:C8," &
	"IO_C10:C10," &
	"IO_C11:C11," &
	"IO_C12:C12," &
	"IO_C13:C13," &
	"IO_C15:C15," &
	"IO_C16:C16," &
	"IO_C17:C17," &
	"IO_C18:C18," &
	"IO_C20:C20," &
	"IO_C21:C21," &
	"IO_C22:C22," &
	"IO_D7:D7," &
	"IO_D8:D8," &
	"IO_D9:D9," &
	"IO_D10:D10," &
	"IO_D12:D12," &
	"IO_D13:D13," &
	"IO_D14:D14," &
	"IO_D15:D15," &
	"IO_D17:D17," &
	"IO_D18:D18," &
	"IO_D19:D19," &
	"IO_D20:D20," &
	"IO_D22:D22," &
	"IO_E6:E6," &
	"IO_E7:E7," &
	"IO_E9:E9," &
	"IO_E10:E10," &
	"IO_E11:E11," &
	"IO_E12:E12," &
	"IO_E14:E14," &
	"IO_E15:E15," &
	"IO_E16:E16," &
	"IO_E17:E17," &
	"IO_E19:E19," &
	"IO_E20:E20," &
	"IO_E21:E21," &
	"IO_E22:E22," &
	"IO_F6:F6," &
	"IO_F7:F7," &
	"IO_F8:F8," &
	"IO_F9:F9," &
	"IO_F11:F11," &
	"IO_F12:F12," &
	"IO_F13:F13," &
	"IO_F14:F14," &
	"IO_F16:F16," &
	"IO_F17:F17," &
	"IO_F18:F18," &
	"IO_F19:F19," &
	"IO_F21:F21," &
	"IO_F22:F22," &
	"IO_G6:G6," &
	"IO_G8:G8," &
	"IO_G9:G9," &
	"IO_G10:G10," &
	"IO_G11:G11," &
	"IO_G13:G13," &
	"IO_G14:G14," &
	"IO_G15:G15," &
	"IO_G16:G16," &
	"IO_G18:G18," &
	"IO_G19:G19," &
	"IO_G20:G20," &
	"IO_G21:G21," &
	"IO_H8:H8," &
	"IO_H10:H10," &
	"IO_H11:H11," &
	"IO_H12:H12," &
	"IO_H13:H13," &
	"IO_H15:H15," &
	"IO_H16:H16," &
	"IO_H17:H17," &
	"IO_H18:H18," &
	"IO_H20:H20," &
	"IO_H21:H21," &
	"IO_H22:H22," &
	"IO_J17:J17," &
	"IO_J18:J18," &
	"IO_J19:J19," &
	"IO_J20:J20," &
	"IO_J22:J22," &
	"IO_K17:K17," &
	"IO_K19:K19," &
	"IO_K20:K20," &
	"IO_K21:K21," &
	"IO_K22:K22," &
	"IO_L17:L17," &
	"IO_L18:L18," &
	"IO_L19:L19," &
	"IO_L21:L21," &
	"IO_L22:L22," &
	"IO_M18:M18," &
	"IO_M19:M19," &
	"IO_M20:M20," &
	"IO_M21:M21," &
	"IO_N17:N17," &
	"IO_N18:N18," &
	"IO_N20:N20," &
	"IO_N21:N21," &
	"IO_N22:N22," &
	"IO_P17:P17," &
	"IO_P18:P18," &
	"IO_P19:P19," &
	"IO_P20:P20," &
	"IO_P22:P22," &
	"IO_R9:R9," &
	"IO_R14:R14," &
	"IO_R15:R15," &
	"IO_R16:R16," &
	"IO_R17:R17," &
	"IO_R19:R19," &
	"IO_R20:R20," &
	"IO_R21:R21," &
	"IO_R22:R22," &
	"IO_T6:T6," &
	"IO_T7:T7," &
	"IO_T8:T8," &
	"IO_T9:T9," &
	"IO_T11:T11," &
	"IO_T12:T12," &
	"IO_T13:T13," &
	"IO_T14:T14," &
	"IO_T16:T16," &
	"IO_T17:T17," &
	"IO_T18:T18," &
	"IO_T19:T19," &
	"IO_T21:T21," &
	"IO_T22:T22," &
	"IO_U6:U6," &
	"IO_U8:U8," &
	"IO_U9:U9," &
	"IO_U10:U10," &
	"IO_U11:U11," &
	"IO_U13:U13," &
	"IO_U14:U14," &
	"IO_U15:U15," &
	"IO_U16:U16," &
	"IO_U18:U18," &
	"IO_U19:U19," &
	"IO_U20:U20," &
	"IO_U21:U21," &
	"IO_V6:V6," &
	"IO_V7:V7," &
	"IO_V8:V8," &
	"IO_V10:V10," &
	"IO_V11:V11," &
	"IO_V12:V12," &
	"IO_V13:V13," &
	"IO_V15:V15," &
	"IO_V16:V16," &
	"IO_V17:V17," &
	"IO_V18:V18," &
	"IO_V20:V20," &
	"IO_V21:V21," &
	"IO_V22:V22," &
	"IO_W7:W7," &
	"IO_W8:W8," &
	"IO_W9:W9," &
	"IO_W10:W10," &
	"IO_W12:W12," &
	"IO_W13:W13," &
	"IO_W14:W14," &
	"IO_W15:W15," &
	"IO_W17:W17," &
	"IO_W18:W18," &
	"IO_W19:W19," &
	"IO_W20:W20," &
	"IO_W22:W22," &
	"IO_Y6:Y6," &
	"IO_Y7:Y7," &
	"IO_Y9:Y9," &
	"IO_Y10:Y10," &
	"IO_Y11:Y11," &
	"IO_Y12:Y12," &
	"IO_Y14:Y14," &
	"IO_Y15:Y15," &
	"IO_Y16:Y16," &
	"IO_Y17:Y17," &
	"IO_Y19:Y19," &
	"IO_Y20:Y20," &
	"IO_Y21:Y21," &
	"IO_Y22:Y22," &
	"IO_AA6:AA6," &
	"IO_AA7:AA7," &
	"IO_AA8:AA8," &
	"IO_AA9:AA9," &
	"IO_AA11:AA11," &
	"IO_AA12:AA12," &
	"IO_AA13:AA13," &
	"IO_AA14:AA14," &
	"IO_AA16:AA16," &
	"IO_AA17:AA17," &
	"IO_AA18:AA18," &
	"IO_AA19:AA19," &
	"IO_AA21:AA21," &
	"IO_AA22:AA22," &
	"IO_AB6:AB6," &
	"IO_AB8:AB8," &
	"IO_AB9:AB9," &
	"IO_AB10:AB10," &
	"IO_AB11:AB11," &
	"IO_AB13:AB13," &
	"IO_AB14:AB14," &
	"IO_AB15:AB15," &
	"IO_AB16:AB16," &
	"IO_AB18:AB18," &
	"IO_AB19:AB19," &
	"IO_AB20:AB20," &
	"IO_AB21:AB21";


-- Grouped Port Identification

attribute PORT_GROUPING of XC6VCX75T_FF484 : entity is
"DIFFERENTIAL_VOLTAGE (" &
"(MGTRXP0_114, MGTRXN0_114), " &
"(MGTRXP0_115, MGTRXN0_115), " &
"(MGTRXP1_114, MGTRXN1_114), " &
"(MGTRXP1_115, MGTRXN1_115), " &
"(MGTRXP2_114, MGTRXN2_114), " &
"(MGTRXP2_115, MGTRXN2_115), " &
"(MGTRXP3_114, MGTRXN3_114), " &
"(MGTRXP3_115, MGTRXN3_115), " &
"(MGTTXP0_114, MGTTXN0_114), " &
"(MGTTXP0_115, MGTTXN0_115), " &
"(MGTTXP1_114, MGTTXN1_114), " &
"(MGTTXP1_115, MGTTXN1_115), " &
"(MGTTXP2_114, MGTTXN2_114), " &
"(MGTTXP2_115, MGTTXN2_115), " &
"(MGTTXP3_114, MGTTXN3_114), " &
"(MGTTXP3_115, MGTTXN3_115))";

-- Scan Port Identification

attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (66.0e6, BOTH);

-- Compliance-Enable Description

attribute COMPLIANCE_PATTERNS of XC6VCX75T_FF484 : entity is
        "(PROGRAM_B, HSWAPEN) (10)";

-- Instruction Register Description

attribute INSTRUCTION_LENGTH of XC6VCX75T_FF484 : entity is 10;

attribute INSTRUCTION_OPCODE of XC6VCX75T_FF484 : entity is
        "EXTEST         (1111000000)," &
        "EXTEST_PULSE   (1111111100)," &
        "EXTEST_TRAIN   (1111111101)," &
        "SAMPLE    (1111000001)," &
        "PRELOAD   (1111000001)," & -- Same as SAMPLE
        "USER1     (1111000010)," & -- Not available until after configuration
        "USER2     (1111000011)," & -- Not available until after configuration
        "USER3     (1111100010)," & -- Not available until after configuration
        "USER4     (1111100011)," & -- Not available until after configuration
        "CFG_OUT   (1111000100)," & -- Not available during configuration with another mode.
        "CFG_IN    (1111000101)," & -- Not available during configuration with another mode.
        "JRSVD     (1111000110)," &
        "INTEST_RSVD    (1111000111)," &
        "USERCODE  (1111001000)," &
        "IDCODE    (1111001001)," &
        "HIGHZ     (1111001010)," &
        "JPROGRAM  (1111001011)," & -- Not available during configuration with another mode.
        "JSTART    (1111001100)," & -- Not available during configuration with another mode.
        "JSHUTDOWN (1111001101)," & -- Not available during configuration with another mode.
        "ISC_DNA   (1111010111)," &
        "BYPASS    (1111111111)," &
        "FUSE_UPDATE      (1111110000)," &
        "FUSE_KEY         (1111110001)," &
        "FUSE_ID          (1111110010)," &
        "FUSE_USER        (1111110011)," &
        "FUSE_CNTL        (1111110100)," &
        "MONITOR_DRP      (1111110111)," &
	"ISC_ENABLE           (1111010000)," &
	"ISC_PROGRAM          (1111010001)," &
	"ISC_PROGRAM_KEY      (1111010010)," &
	"ISC_ADDRESS_SHIFT    (1111010011)," &
	"ISC_NOOP             (1111010100)," &
	"ISC_READ             (1111010101)," &
	"ISC_DISABLE          (1111010110)";

attribute INSTRUCTION_CAPTURE of XC6VCX75T_FF484 : entity is
-- Bit 5 is 1 when DONE is released (part of startup sequence)
-- Bit 4 is 1 if house-cleaning is complete
-- Bit 3 is ISC_Enabled
-- Bit 2 is ISC_Done
        "XXXXXXXX01";

attribute INSTRUCTION_PRIVATE of XC6VCX75T_FF484 : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
        "USER1," &
        "USER2," &
        "USER3," &
        "USER4," &
        "CFG_OUT," &
        "CFG_IN," &
        "JRSVD," &
        "JPROGRAM," &
        "JSTART," &
        "JSHUTDOWN," &
        "INTEST_RSVD," &
        "FUSE_UPDATE," &
        "FUSE_KEY," &
        "FUSE_ID," &
        "FUSE_USER," &
        "FUSE_CNTL," &
        "MONITOR_DRP," &
	"ISC_ENABLE," &
	"ISC_PROGRAM," &
	"ISC_PROGRAM_KEY," &
	"ISC_ADDRESS_SHIFT," &
	"ISC_NOOP," &
	"ISC_READ," &
	"ISC_DISABLE";

-- Optional Register Description

attribute IDCODE_REGISTER of XC6VCX75T_FF484 : entity is
	"XXXX" &	-- version
	"0100001" &	-- family
	"011000100" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1


attribute USERCODE_REGISTER of XC6VCX75T_FF484 : entity is
        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

-- Register Access Description

attribute REGISTER_ACCESS of XC6VCX75T_FF484 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
--	"<reg_name>[<length>] (USER3)," &
--	"<reg_name>[<length>] (USER4)," &
        "DATAREG[57] (ISC_DNA)," &
        "BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,PRELOAD,EXTEST,EXTEST_PULSE,EXTEST_TRAIN)";

-- Boundary-Scan Register Description

attribute BOUNDARY_LENGTH of XC6VCX75T_FF484 : entity is 1153;

attribute BOUNDARY_REGISTER of XC6VCX75T_FF484 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_2, *, controlr, 1)," &
	"   1 (BC_2, CCLK_M6, output3, X, 0, 1, PULL1)," & --  CCLK_0
	"   2 (BC_2, CCLK_M6, input, X)," & --  CCLK_0
	"   3 (BC_2, *, controlr, 1)," &
	"   4 (BC_2, DOUT_BUSY_N6, output3, X, 3, 1, PULL1)," & --  DOUT_BUSY_0
	"   5 (BC_2, RDWR_B_V5, input, X)," &
	"   6 (BC_2, CSI_B_M7, input, X)," &
	"   7 (BC_2, DIN_Y5, input, X)," &
	"   8 (BC_2, *, internal, 1)," & --  PROGRAM_B
	"   9 (BC_2, *, internal, 0)," & --  HSWAPEN
	"  10 (BC_2, M0_H7, input, X)," &
	"  11 (BC_2, M1_J7, input, X)," &
	"  12 (BC_2, M2_H6, input, X)," &
	"  13 (BC_2, *, controlr, 1)," &
	"  14 (BC_2, INIT_B_H5, output3, X, 13, 1, PULL1)," & --  INIT_B_0
	"  15 (BC_2, INIT_B_H5, input, X)," & --  INIT_B_0
	"  16 (BC_2, *, controlr, 1)," &
	"  17 (BC_2, DONE_K6, output3, X, 16, 1, PULL1)," & --  DONE_0
	"  18 (BC_2, DONE_K6, input, X)," & --  DONE_0
	"  19 (BC_2, *, internal, X)," &
	"  20 (BC_2, *, internal, X)," &
	"  21 (BC_2, *, internal, X)," &
	"  22 (BC_2, *, internal, X)," &
	"  23 (BC_2, *, internal, X)," &
	"  24 (BC_2, *, internal, X)," &
	"  25 (BC_2, *, controlr, 1)," &
	"  26 (BC_2, IO_AB10, output3, X, 25, 1, PULL1)," & --  PAD360
	"  27 (BC_2, IO_AB10, input, X)," & --  PAD360
	"  28 (BC_2, *, controlr, 1)," &
	"  29 (BC_2, IO_AB11, output3, X, 28, 1, PULL1)," & --  PAD359
	"  30 (BC_2, IO_AB11, input, X)," & --  PAD359
	"  31 (BC_2, *, controlr, 1)," &
	"  32 (BC_2, IO_Y11, output3, X, 31, 1, PULL1)," & --  PAD358
	"  33 (BC_2, IO_Y11, input, X)," & --  PAD358
	"  34 (BC_2, *, controlr, 1)," &
	"  35 (BC_2, IO_AA11, output3, X, 34, 1, PULL1)," & --  PAD357
	"  36 (BC_2, IO_AA11, input, X)," & --  PAD357
	"  37 (BC_2, *, controlr, 1)," &
	"  38 (BC_2, IO_V11, output3, X, 37, 1, PULL1)," & --  PAD356
	"  39 (BC_2, IO_V11, input, X)," & --  PAD356
	"  40 (BC_2, *, controlr, 1)," &
	"  41 (BC_2, IO_U11, output3, X, 40, 1, PULL1)," & --  PAD355
	"  42 (BC_2, IO_U11, input, X)," & --  PAD355
	"  43 (BC_2, *, controlr, 1)," &
	"  44 (BC_2, IO_AA12, output3, X, 43, 1, PULL1)," & --  PAD354
	"  45 (BC_2, IO_AA12, input, X)," & --  PAD354
	"  46 (BC_2, *, controlr, 1)," &
	"  47 (BC_2, IO_Y12, output3, X, 46, 1, PULL1)," & --  PAD353
	"  48 (BC_2, IO_Y12, input, X)," & --  PAD353
	"  49 (BC_2, *, controlr, 1)," &
	"  50 (BC_2, IO_AB8, output3, X, 49, 1, PULL1)," & --  PAD352
	"  51 (BC_2, IO_AB8, input, X)," & --  PAD352
	"  52 (BC_2, *, controlr, 1)," &
	"  53 (BC_2, IO_AB9, output3, X, 52, 1, PULL1)," & --  PAD351
	"  54 (BC_2, IO_AB9, input, X)," & --  PAD351
	"  55 (BC_2, *, controlr, 1)," &
	"  56 (BC_2, IO_Y10, output3, X, 55, 1, PULL1)," & --  PAD350
	"  57 (BC_2, IO_Y10, input, X)," & --  PAD350
	"  58 (BC_2, *, controlr, 1)," &
	"  59 (BC_2, IO_W10, output3, X, 58, 1, PULL1)," & --  PAD349
	"  60 (BC_2, IO_W10, input, X)," & --  PAD349
	"  61 (BC_2, *, controlr, 1)," &
	"  62 (BC_2, IO_U10, output3, X, 61, 1, PULL1)," & --  PAD348
	"  63 (BC_2, IO_U10, input, X)," & --  PAD348
	"  64 (BC_2, *, controlr, 1)," &
	"  65 (BC_2, IO_T9, output3, X, 64, 1, PULL1)," & --  PAD347
	"  66 (BC_2, IO_T9, input, X)," & --  PAD347
	"  67 (BC_2, *, controlr, 1)," &
	"  68 (BC_2, IO_W8, output3, X, 67, 1, PULL1)," & --  PAD346
	"  69 (BC_2, IO_W8, input, X)," & --  PAD346
	"  70 (BC_2, *, controlr, 1)," &
	"  71 (BC_2, IO_W9, output3, X, 70, 1, PULL1)," & --  PAD345
	"  72 (BC_2, IO_W9, input, X)," & --  PAD345
	"  73 (BC_2, *, controlr, 1)," &
	"  74 (BC_2, IO_U9, output3, X, 73, 1, PULL1)," & --  PAD344
	"  75 (BC_2, IO_U9, input, X)," & --  PAD344
	"  76 (BC_2, *, controlr, 1)," &
	"  77 (BC_2, IO_V10, output3, X, 76, 1, PULL1)," & --  PAD343
	"  78 (BC_2, IO_V10, input, X)," & --  PAD343
	"  79 (BC_2, *, controlr, 1)," &
	"  80 (BC_2, IO_Y9, output3, X, 79, 1, PULL1)," & --  PAD342
	"  81 (BC_2, IO_Y9, input, X)," & --  PAD342
	"  82 (BC_2, *, controlr, 1)," &
	"  83 (BC_2, IO_AA9, output3, X, 82, 1, PULL1)," & --  PAD341
	"  84 (BC_2, IO_AA9, input, X)," & --  PAD341
	"  85 (BC_2, *, controlr, 1)," &
	"  86 (BC_2, IO_AA8, output3, X, 85, 1, PULL1)," & --  PAD340
	"  87 (BC_2, IO_AA8, input, X)," & --  PAD340
	"  88 (BC_2, *, controlr, 1)," &
	"  89 (BC_2, IO_AA7, output3, X, 88, 1, PULL1)," & --  PAD339
	"  90 (BC_2, IO_AA7, input, X)," & --  PAD339
	"  91 (BC_2, *, controlr, 1)," &
	"  92 (BC_2, IO_AA6, output3, X, 91, 1, PULL1)," & --  PAD338
	"  93 (BC_2, IO_AA6, input, X)," & --  PAD338
	"  94 (BC_2, *, controlr, 1)," &
	"  95 (BC_2, IO_AB6, output3, X, 94, 1, PULL1)," & --  PAD337
	"  96 (BC_2, IO_AB6, input, X)," & --  PAD337
	"  97 (BC_2, *, controlr, 1)," &
	"  98 (BC_2, IO_Y7, output3, X, 97, 1, PULL1)," & --  PAD336
	"  99 (BC_2, IO_Y7, input, X)," & --  PAD336
	" 100 (BC_2, *, controlr, 1)," &
	" 101 (BC_2, IO_Y6, output3, X, 100, 1, PULL1)," & --  PAD335
	" 102 (BC_2, IO_Y6, input, X)," & --  PAD335
	" 103 (BC_2, *, controlr, 1)," &
	" 104 (BC_2, IO_U8, output3, X, 103, 1, PULL1)," & --  PAD334
	" 105 (BC_2, IO_U8, input, X)," & --  PAD334
	" 106 (BC_2, *, controlr, 1)," &
	" 107 (BC_2, IO_V8, output3, X, 106, 1, PULL1)," & --  PAD333
	" 108 (BC_2, IO_V8, input, X)," & --  PAD333
	" 109 (BC_2, *, controlr, 1)," &
	" 110 (BC_2, IO_W7, output3, X, 109, 1, PULL1)," & --  PAD332
	" 111 (BC_2, IO_W7, input, X)," & --  PAD332
	" 112 (BC_2, *, controlr, 1)," &
	" 113 (BC_2, IO_V7, output3, X, 112, 1, PULL1)," & --  PAD331
	" 114 (BC_2, IO_V7, input, X)," & --  PAD331
	" 115 (BC_2, *, controlr, 1)," &
	" 116 (BC_2, IO_T11, output3, X, 115, 1, PULL1)," & --  PAD330
	" 117 (BC_2, IO_T11, input, X)," & --  PAD330
	" 118 (BC_2, *, controlr, 1)," &
	" 119 (BC_2, IO_T12, output3, X, 118, 1, PULL1)," & --  PAD329
	" 120 (BC_2, IO_T12, input, X)," & --  PAD329
	" 121 (BC_2, *, controlr, 1)," &
	" 122 (BC_2, IO_V6, output3, X, 121, 1, PULL1)," & --  PAD328
	" 123 (BC_2, IO_V6, input, X)," & --  PAD328
	" 124 (BC_2, *, controlr, 1)," &
	" 125 (BC_2, IO_U6, output3, X, 124, 1, PULL1)," & --  PAD327
	" 126 (BC_2, IO_U6, input, X)," & --  PAD327
	" 127 (BC_2, *, controlr, 1)," &
	" 128 (BC_2, IO_T8, output3, X, 127, 1, PULL1)," & --  PAD326
	" 129 (BC_2, IO_T8, input, X)," & --  PAD326
	" 130 (BC_2, *, controlr, 1)," &
	" 131 (BC_2, IO_R9, output3, X, 130, 1, PULL1)," & --  PAD325
	" 132 (BC_2, IO_R9, input, X)," & --  PAD325
	" 133 (BC_2, *, controlr, 1)," &
	" 134 (BC_2, IO_T7, output3, X, 133, 1, PULL1)," & --  PAD324
	" 135 (BC_2, IO_T7, input, X)," & --  PAD324
	" 136 (BC_2, *, controlr, 1)," &
	" 137 (BC_2, IO_T6, output3, X, 136, 1, PULL1)," & --  PAD323
	" 138 (BC_2, IO_T6, input, X)," & --  PAD323
	" 139 (BC_2, *, controlr, 1)," &
	" 140 (BC_2, IO_W12, output3, X, 139, 1, PULL1)," & --  PAD322
	" 141 (BC_2, IO_W12, input, X)," & --  PAD322
	" 142 (BC_2, *, controlr, 1)," &
	" 143 (BC_2, IO_V12, output3, X, 142, 1, PULL1)," & --  PAD321
	" 144 (BC_2, IO_V12, input, X)," & --  PAD321
	" 145 (BC_2, *, controlr, 1)," &
	" 146 (BC_2, IO_G6, output3, X, 145, 1, PULL1)," & --  PAD320
	" 147 (BC_2, IO_G6, input, X)," & --  PAD320
	" 148 (BC_2, *, controlr, 1)," &
	" 149 (BC_2, IO_F6, output3, X, 148, 1, PULL1)," & --  PAD319
	" 150 (BC_2, IO_F6, input, X)," & --  PAD319
	" 151 (BC_2, *, controlr, 1)," &
	" 152 (BC_2, IO_C12, output3, X, 151, 1, PULL1)," & --  PAD318
	" 153 (BC_2, IO_C12, input, X)," & --  PAD318
	" 154 (BC_2, *, controlr, 1)," &
	" 155 (BC_2, IO_D12, output3, X, 154, 1, PULL1)," & --  PAD317
	" 156 (BC_2, IO_D12, input, X)," & --  PAD317
	" 157 (BC_2, *, controlr, 1)," &
	" 158 (BC_2, IO_H8, output3, X, 157, 1, PULL1)," & --  PAD316
	" 159 (BC_2, IO_H8, input, X)," & --  PAD316
	" 160 (BC_2, *, controlr, 1)," &
	" 161 (BC_2, IO_G8, output3, X, 160, 1, PULL1)," & --  PAD315
	" 162 (BC_2, IO_G8, input, X)," & --  PAD315
	" 163 (BC_2, *, controlr, 1)," &
	" 164 (BC_2, IO_H11, output3, X, 163, 1, PULL1)," & --  PAD314
	" 165 (BC_2, IO_H11, input, X)," & --  PAD314
	" 166 (BC_2, *, controlr, 1)," &
	" 167 (BC_2, IO_G11, output3, X, 166, 1, PULL1)," & --  PAD313
	" 168 (BC_2, IO_G11, input, X)," & --  PAD313
	" 169 (BC_2, *, controlr, 1)," &
	" 170 (BC_2, IO_F7, output3, X, 169, 1, PULL1)," & --  PAD312
	" 171 (BC_2, IO_F7, input, X)," & --  PAD312
	" 172 (BC_2, *, controlr, 1)," &
	" 173 (BC_2, IO_F8, output3, X, 172, 1, PULL1)," & --  PAD311
	" 174 (BC_2, IO_F8, input, X)," & --  PAD311
	" 175 (BC_2, *, controlr, 1)," &
	" 176 (BC_2, IO_F11, output3, X, 175, 1, PULL1)," & --  PAD310
	" 177 (BC_2, IO_F11, input, X)," & --  PAD310
	" 178 (BC_2, *, controlr, 1)," &
	" 179 (BC_2, IO_E11, output3, X, 178, 1, PULL1)," & --  PAD309
	" 180 (BC_2, IO_E11, input, X)," & --  PAD309
	" 181 (BC_2, *, controlr, 1)," &
	" 182 (BC_2, IO_E6, output3, X, 181, 1, PULL1)," & --  PAD308
	" 183 (BC_2, IO_E6, input, X)," & --  PAD308
	" 184 (BC_2, *, controlr, 1)," &
	" 185 (BC_2, IO_E7, output3, X, 184, 1, PULL1)," & --  PAD307
	" 186 (BC_2, IO_E7, input, X)," & --  PAD307
	" 187 (BC_2, *, controlr, 1)," &
	" 188 (BC_2, IO_G9, output3, X, 187, 1, PULL1)," & --  PAD306
	" 189 (BC_2, IO_G9, input, X)," & --  PAD306
	" 190 (BC_2, *, controlr, 1)," &
	" 191 (BC_2, IO_F9, output3, X, 190, 1, PULL1)," & --  PAD305
	" 192 (BC_2, IO_F9, input, X)," & --  PAD305
	" 193 (BC_2, *, controlr, 1)," &
	" 194 (BC_2, IO_D7, output3, X, 193, 1, PULL1)," & --  PAD304
	" 195 (BC_2, IO_D7, input, X)," & --  PAD304
	" 196 (BC_2, *, controlr, 1)," &
	" 197 (BC_2, IO_D8, output3, X, 196, 1, PULL1)," & --  PAD303
	" 198 (BC_2, IO_D8, input, X)," & --  PAD303
	" 199 (BC_2, *, controlr, 1)," &
	" 200 (BC_2, IO_C7, output3, X, 199, 1, PULL1)," & --  PAD302
	" 201 (BC_2, IO_C7, input, X)," & --  PAD302
	" 202 (BC_2, *, controlr, 1)," &
	" 203 (BC_2, IO_C8, output3, X, 202, 1, PULL1)," & --  PAD301
	" 204 (BC_2, IO_C8, input, X)," & --  PAD301
	" 205 (BC_2, *, controlr, 1)," &
	" 206 (BC_2, IO_D9, output3, X, 205, 1, PULL1)," & --  PAD300
	" 207 (BC_2, IO_D9, input, X)," & --  PAD300
	" 208 (BC_2, *, controlr, 1)," &
	" 209 (BC_2, IO_E9, output3, X, 208, 1, PULL1)," & --  PAD299
	" 210 (BC_2, IO_E9, input, X)," & --  PAD299
	" 211 (BC_2, *, controlr, 1)," &
	" 212 (BC_2, IO_H10, output3, X, 211, 1, PULL1)," & --  PAD298
	" 213 (BC_2, IO_H10, input, X)," & --  PAD298
	" 214 (BC_2, *, controlr, 1)," &
	" 215 (BC_2, IO_G10, output3, X, 214, 1, PULL1)," & --  PAD297
	" 216 (BC_2, IO_G10, input, X)," & --  PAD297
	" 217 (BC_2, *, controlr, 1)," &
	" 218 (BC_2, IO_B6, output3, X, 217, 1, PULL1)," & --  PAD296
	" 219 (BC_2, IO_B6, input, X)," & --  PAD296
	" 220 (BC_2, *, controlr, 1)," &
	" 221 (BC_2, IO_C6, output3, X, 220, 1, PULL1)," & --  PAD295
	" 222 (BC_2, IO_C6, input, X)," & --  PAD295
	" 223 (BC_2, *, controlr, 1)," &
	" 224 (BC_2, IO_A6, output3, X, 223, 1, PULL1)," & --  PAD294
	" 225 (BC_2, IO_A6, input, X)," & --  PAD294
	" 226 (BC_2, *, controlr, 1)," &
	" 227 (BC_2, IO_A7, output3, X, 226, 1, PULL1)," & --  PAD293
	" 228 (BC_2, IO_A7, input, X)," & --  PAD293
	" 229 (BC_2, *, controlr, 1)," &
	" 230 (BC_2, IO_B8, output3, X, 229, 1, PULL1)," & --  PAD292
	" 231 (BC_2, IO_B8, input, X)," & --  PAD292
	" 232 (BC_2, *, controlr, 1)," &
	" 233 (BC_2, IO_A8, output3, X, 232, 1, PULL1)," & --  PAD291
	" 234 (BC_2, IO_A8, input, X)," & --  PAD291
	" 235 (BC_2, *, controlr, 1)," &
	" 236 (BC_2, IO_E10, output3, X, 235, 1, PULL1)," & --  PAD290
	" 237 (BC_2, IO_E10, input, X)," & --  PAD290
	" 238 (BC_2, *, controlr, 1)," &
	" 239 (BC_2, IO_D10, output3, X, 238, 1, PULL1)," & --  PAD289
	" 240 (BC_2, IO_D10, input, X)," & --  PAD289
	" 241 (BC_2, *, controlr, 1)," &
	" 242 (BC_2, IO_B9, output3, X, 241, 1, PULL1)," & --  PAD288
	" 243 (BC_2, IO_B9, input, X)," & --  PAD288
	" 244 (BC_2, *, controlr, 1)," &
	" 245 (BC_2, IO_A9, output3, X, 244, 1, PULL1)," & --  PAD287
	" 246 (BC_2, IO_A9, input, X)," & --  PAD287
	" 247 (BC_2, *, controlr, 1)," &
	" 248 (BC_2, IO_B11, output3, X, 247, 1, PULL1)," & --  PAD286
	" 249 (BC_2, IO_B11, input, X)," & --  PAD286
	" 250 (BC_2, *, controlr, 1)," &
	" 251 (BC_2, IO_C11, output3, X, 250, 1, PULL1)," & --  PAD285
	" 252 (BC_2, IO_C11, input, X)," & --  PAD285
	" 253 (BC_2, *, controlr, 1)," &
	" 254 (BC_2, IO_C10, output3, X, 253, 1, PULL1)," & --  PAD284
	" 255 (BC_2, IO_C10, input, X)," & --  PAD284
	" 256 (BC_2, *, controlr, 1)," &
	" 257 (BC_2, IO_B10, output3, X, 256, 1, PULL1)," & --  PAD283
	" 258 (BC_2, IO_B10, input, X)," & --  PAD283
	" 259 (BC_2, *, controlr, 1)," &
	" 260 (BC_2, IO_A11, output3, X, 259, 1, PULL1)," & --  PAD282
	" 261 (BC_2, IO_A11, input, X)," & --  PAD282
	" 262 (BC_2, *, controlr, 1)," &
	" 263 (BC_2, IO_A12, output3, X, 262, 1, PULL1)," & --  PAD281
	" 264 (BC_2, IO_A12, input, X)," & --  PAD281
	" 265 (BC_2, *, internal, 1)," & --  PAD280.T
	" 266 (BC_2, *, internal, X)," & --  PAD280.O
	" 267 (BC_2, *, internal, X)," & --  PAD280.I
	" 268 (BC_2, *, internal, 1)," & --  PAD279.T
	" 269 (BC_2, *, internal, X)," & --  PAD279.O
	" 270 (BC_2, *, internal, X)," & --  PAD279.I
	" 271 (BC_2, *, internal, 1)," & --  PAD278.T
	" 272 (BC_2, *, internal, X)," & --  PAD278.O
	" 273 (BC_2, *, internal, X)," & --  PAD278.I
	" 274 (BC_2, *, internal, 1)," & --  PAD277.T
	" 275 (BC_2, *, internal, X)," & --  PAD277.O
	" 276 (BC_2, *, internal, X)," & --  PAD277.I
	" 277 (BC_2, *, internal, 1)," & --  PAD276.T
	" 278 (BC_2, *, internal, X)," & --  PAD276.O
	" 279 (BC_2, *, internal, X)," & --  PAD276.I
	" 280 (BC_2, *, internal, 1)," & --  PAD275.T
	" 281 (BC_2, *, internal, X)," & --  PAD275.O
	" 282 (BC_2, *, internal, X)," & --  PAD275.I
	" 283 (BC_2, *, internal, 1)," & --  PAD274.T
	" 284 (BC_2, *, internal, X)," & --  PAD274.O
	" 285 (BC_2, *, internal, X)," & --  PAD274.I
	" 286 (BC_2, *, internal, 1)," & --  PAD273.T
	" 287 (BC_2, *, internal, X)," & --  PAD273.O
	" 288 (BC_2, *, internal, X)," & --  PAD273.I
	" 289 (BC_2, *, internal, 1)," & --  PAD272.T
	" 290 (BC_2, *, internal, X)," & --  PAD272.O
	" 291 (BC_2, *, internal, X)," & --  PAD272.I
	" 292 (BC_2, *, internal, 1)," & --  PAD271.T
	" 293 (BC_2, *, internal, X)," & --  PAD271.O
	" 294 (BC_2, *, internal, X)," & --  PAD271.I
	" 295 (BC_2, *, internal, 1)," & --  PAD270.T
	" 296 (BC_2, *, internal, X)," & --  PAD270.O
	" 297 (BC_2, *, internal, X)," & --  PAD270.I
	" 298 (BC_2, *, internal, 1)," & --  PAD269.T
	" 299 (BC_2, *, internal, X)," & --  PAD269.O
	" 300 (BC_2, *, internal, X)," & --  PAD269.I
	" 301 (BC_2, *, internal, 1)," & --  PAD268.T
	" 302 (BC_2, *, internal, X)," & --  PAD268.O
	" 303 (BC_2, *, internal, X)," & --  PAD268.I
	" 304 (BC_2, *, internal, 1)," & --  PAD267.T
	" 305 (BC_2, *, internal, X)," & --  PAD267.O
	" 306 (BC_2, *, internal, X)," & --  PAD267.I
	" 307 (BC_2, *, internal, 1)," & --  PAD266.T
	" 308 (BC_2, *, internal, X)," & --  PAD266.O
	" 309 (BC_2, *, internal, X)," & --  PAD266.I
	" 310 (BC_2, *, internal, 1)," & --  PAD265.T
	" 311 (BC_2, *, internal, X)," & --  PAD265.O
	" 312 (BC_2, *, internal, X)," & --  PAD265.I
	" 313 (BC_2, *, internal, 1)," & --  PAD264.T
	" 314 (BC_2, *, internal, X)," & --  PAD264.O
	" 315 (BC_2, *, internal, X)," & --  PAD264.I
	" 316 (BC_2, *, internal, 1)," & --  PAD263.T
	" 317 (BC_2, *, internal, X)," & --  PAD263.O
	" 318 (BC_2, *, internal, X)," & --  PAD263.I
	" 319 (BC_2, *, internal, 1)," & --  PAD262.T
	" 320 (BC_2, *, internal, X)," & --  PAD262.O
	" 321 (BC_2, *, internal, X)," & --  PAD262.I
	" 322 (BC_2, *, internal, 1)," & --  PAD261.T
	" 323 (BC_2, *, internal, X)," & --  PAD261.O
	" 324 (BC_2, *, internal, X)," & --  PAD261.I
	" 325 (BC_2, *, internal, 1)," & --  PAD260.T
	" 326 (BC_2, *, internal, X)," & --  PAD260.O
	" 327 (BC_2, *, internal, X)," & --  PAD260.I
	" 328 (BC_2, *, internal, 1)," & --  PAD259.T
	" 329 (BC_2, *, internal, X)," & --  PAD259.O
	" 330 (BC_2, *, internal, X)," & --  PAD259.I
	" 331 (BC_2, *, internal, 1)," & --  PAD258.T
	" 332 (BC_2, *, internal, X)," & --  PAD258.O
	" 333 (BC_2, *, internal, X)," & --  PAD258.I
	" 334 (BC_2, *, internal, 1)," & --  PAD257.T
	" 335 (BC_2, *, internal, X)," & --  PAD257.O
	" 336 (BC_2, *, internal, X)," & --  PAD257.I
	" 337 (BC_2, *, internal, 1)," & --  PAD256.T
	" 338 (BC_2, *, internal, X)," & --  PAD256.O
	" 339 (BC_2, *, internal, X)," & --  PAD256.I
	" 340 (BC_2, *, internal, 1)," & --  PAD255.T
	" 341 (BC_2, *, internal, X)," & --  PAD255.O
	" 342 (BC_2, *, internal, X)," & --  PAD255.I
	" 343 (BC_2, *, internal, 1)," & --  PAD254.T
	" 344 (BC_2, *, internal, X)," & --  PAD254.O
	" 345 (BC_2, *, internal, X)," & --  PAD254.I
	" 346 (BC_2, *, internal, 1)," & --  PAD253.T
	" 347 (BC_2, *, internal, X)," & --  PAD253.O
	" 348 (BC_2, *, internal, X)," & --  PAD253.I
	" 349 (BC_2, *, internal, 1)," & --  PAD252.T
	" 350 (BC_2, *, internal, X)," & --  PAD252.O
	" 351 (BC_2, *, internal, X)," & --  PAD252.I
	" 352 (BC_2, *, internal, 1)," & --  PAD251.T
	" 353 (BC_2, *, internal, X)," & --  PAD251.O
	" 354 (BC_2, *, internal, X)," & --  PAD251.I
	" 355 (BC_2, *, internal, 1)," & --  PAD250.T
	" 356 (BC_2, *, internal, X)," & --  PAD250.O
	" 357 (BC_2, *, internal, X)," & --  PAD250.I
	" 358 (BC_2, *, internal, 1)," & --  PAD249.T
	" 359 (BC_2, *, internal, X)," & --  PAD249.O
	" 360 (BC_2, *, internal, X)," & --  PAD249.I
	" 361 (BC_2, *, internal, 1)," & --  PAD248.T
	" 362 (BC_2, *, internal, X)," & --  PAD248.O
	" 363 (BC_2, *, internal, X)," & --  PAD248.I
	" 364 (BC_2, *, internal, 1)," & --  PAD247.T
	" 365 (BC_2, *, internal, X)," & --  PAD247.O
	" 366 (BC_2, *, internal, X)," & --  PAD247.I
	" 367 (BC_2, *, internal, 1)," & --  PAD246.T
	" 368 (BC_2, *, internal, X)," & --  PAD246.O
	" 369 (BC_2, *, internal, X)," & --  PAD246.I
	" 370 (BC_2, *, internal, 1)," & --  PAD245.T
	" 371 (BC_2, *, internal, X)," & --  PAD245.O
	" 372 (BC_2, *, internal, X)," & --  PAD245.I
	" 373 (BC_2, *, internal, 1)," & --  PAD244.T
	" 374 (BC_2, *, internal, X)," & --  PAD244.O
	" 375 (BC_2, *, internal, X)," & --  PAD244.I
	" 376 (BC_2, *, internal, 1)," & --  PAD243.T
	" 377 (BC_2, *, internal, X)," & --  PAD243.O
	" 378 (BC_2, *, internal, X)," & --  PAD243.I
	" 379 (BC_2, *, internal, 1)," & --  PAD242.T
	" 380 (BC_2, *, internal, X)," & --  PAD242.O
	" 381 (BC_2, *, internal, X)," & --  PAD242.I
	" 382 (BC_2, *, internal, 1)," & --  PAD241.T
	" 383 (BC_2, *, internal, X)," & --  PAD241.O
	" 384 (BC_2, *, internal, X)," & --  PAD241.I
	" 385 (BC_2, *, internal, X)," &
	" 386 (BC_2, *, internal, X)," &
	" 387 (BC_2, *, internal, X)," &
	" 388 (BC_2, *, internal, X)," &
	" 389 (BC_4, MGTRXN0_114, OBSERVE_ONLY, X)," &
	" 390 (BC_4, MGTRXP0_114, OBSERVE_ONLY, X)," &
	" 391 (AC_2, MGTTXP0_114, OUTPUT2, X)," &
	" 392 (BC_4, MGTRXN1_114, OBSERVE_ONLY, X)," &
	" 393 (BC_4, MGTRXP1_114, OBSERVE_ONLY, X)," &
	" 394 (AC_2, MGTTXP1_114, OUTPUT2, X)," &
	" 395 (BC_4, MGTRXN2_114, OBSERVE_ONLY, X)," &
	" 396 (BC_4, MGTRXP2_114, OBSERVE_ONLY, X)," &
	" 397 (AC_2, MGTTXP2_114, OUTPUT2, X)," &
	" 398 (BC_4, MGTRXN3_114, OBSERVE_ONLY, X)," &
	" 399 (BC_4, MGTRXP3_114, OBSERVE_ONLY, X)," &
	" 400 (AC_2, MGTTXP3_114, OUTPUT2, X)," &
	" 401 (BC_4, MGTRXN0_115, OBSERVE_ONLY, X)," &
	" 402 (BC_4, MGTRXP0_115, OBSERVE_ONLY, X)," &
	" 403 (AC_2, MGTTXP0_115, OUTPUT2, X)," &
	" 404 (BC_4, MGTRXN1_115, OBSERVE_ONLY, X)," &
	" 405 (BC_4, MGTRXP1_115, OBSERVE_ONLY, X)," &
	" 406 (AC_2, MGTTXP1_115, OUTPUT2, X)," &
	" 407 (BC_4, MGTRXN2_115, OBSERVE_ONLY, X)," &
	" 408 (BC_4, MGTRXP2_115, OBSERVE_ONLY, X)," &
	" 409 (AC_2, MGTTXP2_115, OUTPUT2, X)," &
	" 410 (BC_4, MGTRXN3_115, OBSERVE_ONLY, X)," &
	" 411 (BC_4, MGTRXP3_115, OBSERVE_ONLY, X)," &
	" 412 (AC_2, MGTTXP3_115, OUTPUT2, X)," &
	" 413 (BC_4, *, internal, X)," &
	" 414 (BC_4, *, internal, X)," &
	" 415 (BC_4, *, internal, X)," &
	" 416 (BC_4, *, internal, X)," &
	" 417 (BC_4, *, internal, X)," &
	" 418 (BC_4, *, internal, X)," &
	" 419 (BC_4, *, internal, X)," &
	" 420 (BC_4, *, internal, X)," &
	" 421 (BC_4, *, internal, X)," &
	" 422 (BC_4, *, internal, X)," &
	" 423 (BC_4, *, internal, X)," &
	" 424 (BC_4, *, internal, X)," &
	" 425 (BC_2, *, internal, X)," &
	" 426 (BC_2, *, internal, X)," &
	" 427 (BC_2, *, internal, X)," &
	" 428 (BC_2, *, internal, X)," &
	" 429 (BC_2, *, controlr, 1)," &
	" 430 (BC_2, IO_AB16, output3, X, 429, 1, PULL1)," & --  PAD240
	" 431 (BC_2, IO_AB16, input, X)," & --  PAD240
	" 432 (BC_2, *, controlr, 1)," &
	" 433 (BC_2, IO_AB15, output3, X, 432, 1, PULL1)," & --  PAD239
	" 434 (BC_2, IO_AB15, input, X)," & --  PAD239
	" 435 (BC_2, *, controlr, 1)," &
	" 436 (BC_2, IO_AA14, output3, X, 435, 1, PULL1)," & --  PAD238
	" 437 (BC_2, IO_AA14, input, X)," & --  PAD238
	" 438 (BC_2, *, controlr, 1)," &
	" 439 (BC_2, IO_AB14, output3, X, 438, 1, PULL1)," & --  PAD237
	" 440 (BC_2, IO_AB14, input, X)," & --  PAD237
	" 441 (BC_2, *, controlr, 1)," &
	" 442 (BC_2, IO_W14, output3, X, 441, 1, PULL1)," & --  PAD236
	" 443 (BC_2, IO_W14, input, X)," & --  PAD236
	" 444 (BC_2, *, controlr, 1)," &
	" 445 (BC_2, IO_Y14, output3, X, 444, 1, PULL1)," & --  PAD235
	" 446 (BC_2, IO_Y14, input, X)," & --  PAD235
	" 447 (BC_2, *, controlr, 1)," &
	" 448 (BC_2, IO_AA13, output3, X, 447, 1, PULL1)," & --  PAD234
	" 449 (BC_2, IO_AA13, input, X)," & --  PAD234
	" 450 (BC_2, *, controlr, 1)," &
	" 451 (BC_2, IO_AB13, output3, X, 450, 1, PULL1)," & --  PAD233
	" 452 (BC_2, IO_AB13, input, X)," & --  PAD233
	" 453 (BC_2, *, controlr, 1)," &
	" 454 (BC_2, IO_U14, output3, X, 453, 1, PULL1)," & --  PAD232
	" 455 (BC_2, IO_U14, input, X)," & --  PAD232
	" 456 (BC_2, *, controlr, 1)," &
	" 457 (BC_2, IO_T14, output3, X, 456, 1, PULL1)," & --  PAD231
	" 458 (BC_2, IO_T14, input, X)," & --  PAD231
	" 459 (BC_2, *, controlr, 1)," &
	" 460 (BC_2, IO_Y15, output3, X, 459, 1, PULL1)," & --  PAD230
	" 461 (BC_2, IO_Y15, input, X)," & --  PAD230
	" 462 (BC_2, *, controlr, 1)," &
	" 463 (BC_2, IO_W15, output3, X, 462, 1, PULL1)," & --  PAD229
	" 464 (BC_2, IO_W15, input, X)," & --  PAD229
	" 465 (BC_2, *, controlr, 1)," &
	" 466 (BC_2, IO_V15, output3, X, 465, 1, PULL1)," & --  PAD228
	" 467 (BC_2, IO_V15, input, X)," & --  PAD228
	" 468 (BC_2, *, controlr, 1)," &
	" 469 (BC_2, IO_U15, output3, X, 468, 1, PULL1)," & --  PAD227
	" 470 (BC_2, IO_U15, input, X)," & --  PAD227
	" 471 (BC_2, *, controlr, 1)," &
	" 472 (BC_2, IO_R15, output3, X, 471, 1, PULL1)," & --  PAD226
	" 473 (BC_2, IO_R15, input, X)," & --  PAD226
	" 474 (BC_2, *, controlr, 1)," &
	" 475 (BC_2, IO_R14, output3, X, 474, 1, PULL1)," & --  PAD225
	" 476 (BC_2, IO_R14, input, X)," & --  PAD225
	" 477 (BC_2, *, controlr, 1)," &
	" 478 (BC_2, IO_Y16, output3, X, 477, 1, PULL1)," & --  PAD224
	" 479 (BC_2, IO_Y16, input, X)," & --  PAD224
	" 480 (BC_2, *, controlr, 1)," &
	" 481 (BC_2, IO_AA16, output3, X, 480, 1, PULL1)," & --  PAD223
	" 482 (BC_2, IO_AA16, input, X)," & --  PAD223
	" 483 (BC_2, *, controlr, 1)," &
	" 484 (BC_2, IO_AA17, output3, X, 483, 1, PULL1)," & --  PAD222
	" 485 (BC_2, IO_AA17, input, X)," & --  PAD222
	" 486 (BC_2, *, controlr, 1)," &
	" 487 (BC_2, IO_Y17, output3, X, 486, 1, PULL1)," & --  PAD221
	" 488 (BC_2, IO_Y17, input, X)," & --  PAD221
	" 489 (BC_2, *, controlr, 1)," &
	" 490 (BC_2, IO_AB19, output3, X, 489, 1, PULL1)," & --  PAD220
	" 491 (BC_2, IO_AB19, input, X)," & --  PAD220
	" 492 (BC_2, *, controlr, 1)," &
	" 493 (BC_2, IO_AA19, output3, X, 492, 1, PULL1)," & --  PAD219
	" 494 (BC_2, IO_AA19, input, X)," & --  PAD219
	" 495 (BC_2, *, controlr, 1)," &
	" 496 (BC_2, IO_AA18, output3, X, 495, 1, PULL1)," & --  PAD218
	" 497 (BC_2, IO_AA18, input, X)," & --  PAD218
	" 498 (BC_2, *, controlr, 1)," &
	" 499 (BC_2, IO_AB18, output3, X, 498, 1, PULL1)," & --  PAD217
	" 500 (BC_2, IO_AB18, input, X)," & --  PAD217
	" 501 (BC_2, *, controlr, 1)," &
	" 502 (BC_2, IO_Y19, output3, X, 501, 1, PULL1)," & --  PAD216
	" 503 (BC_2, IO_Y19, input, X)," & --  PAD216
	" 504 (BC_2, *, controlr, 1)," &
	" 505 (BC_2, IO_W19, output3, X, 504, 1, PULL1)," & --  PAD215
	" 506 (BC_2, IO_W19, input, X)," & --  PAD215
	" 507 (BC_2, *, controlr, 1)," &
	" 508 (BC_2, IO_U16, output3, X, 507, 1, PULL1)," & --  PAD214
	" 509 (BC_2, IO_U16, input, X)," & --  PAD214
	" 510 (BC_2, *, controlr, 1)," &
	" 511 (BC_2, IO_V16, output3, X, 510, 1, PULL1)," & --  PAD213
	" 512 (BC_2, IO_V16, input, X)," & --  PAD213
	" 513 (BC_2, *, controlr, 1)," &
	" 514 (BC_2, IO_W17, output3, X, 513, 1, PULL1)," & --  PAD212
	" 515 (BC_2, IO_W17, input, X)," & --  PAD212
	" 516 (BC_2, *, controlr, 1)," &
	" 517 (BC_2, IO_W18, output3, X, 516, 1, PULL1)," & --  PAD211
	" 518 (BC_2, IO_W18, input, X)," & --  PAD211
	" 519 (BC_2, *, controlr, 1)," &
	" 520 (BC_2, IO_W13, output3, X, 519, 1, PULL1)," & --  PAD210
	" 521 (BC_2, IO_W13, input, X)," & --  PAD210
	" 522 (BC_2, *, controlr, 1)," &
	" 523 (BC_2, IO_V13, output3, X, 522, 1, PULL1)," & --  PAD209
	" 524 (BC_2, IO_V13, input, X)," & --  PAD209
	" 525 (BC_2, *, controlr, 1)," &
	" 526 (BC_2, IO_V18, output3, X, 525, 1, PULL1)," & --  PAD208
	" 527 (BC_2, IO_V18, input, X)," & --  PAD208
	" 528 (BC_2, *, controlr, 1)," &
	" 529 (BC_2, IO_V17, output3, X, 528, 1, PULL1)," & --  PAD207
	" 530 (BC_2, IO_V17, input, X)," & --  PAD207
	" 531 (BC_2, *, controlr, 1)," &
	" 532 (BC_2, IO_R16, output3, X, 531, 1, PULL1)," & --  PAD206
	" 533 (BC_2, IO_R16, input, X)," & --  PAD206
	" 534 (BC_2, *, controlr, 1)," &
	" 535 (BC_2, IO_T16, output3, X, 534, 1, PULL1)," & --  PAD205
	" 536 (BC_2, IO_T16, input, X)," & --  PAD205
	" 537 (BC_2, *, controlr, 1)," &
	" 538 (BC_2, IO_U18, output3, X, 537, 1, PULL1)," & --  PAD204
	" 539 (BC_2, IO_U18, input, X)," & --  PAD204
	" 540 (BC_2, *, controlr, 1)," &
	" 541 (BC_2, IO_T17, output3, X, 540, 1, PULL1)," & --  PAD203
	" 542 (BC_2, IO_T17, input, X)," & --  PAD203
	" 543 (BC_2, *, controlr, 1)," &
	" 544 (BC_2, IO_U13, output3, X, 543, 1, PULL1)," & --  PAD202
	" 545 (BC_2, IO_U13, input, X)," & --  PAD202
	" 546 (BC_2, *, controlr, 1)," &
	" 547 (BC_2, IO_T13, output3, X, 546, 1, PULL1)," & --  PAD201
	" 548 (BC_2, IO_T13, input, X)," & --  PAD201
	" 549 (BC_2, *, controlr, 1)," &
	" 550 (BC_2, IO_F17, output3, X, 549, 1, PULL1)," & --  PAD200
	" 551 (BC_2, IO_F17, input, X)," & --  PAD200
	" 552 (BC_2, *, controlr, 1)," &
	" 553 (BC_2, IO_E17, output3, X, 552, 1, PULL1)," & --  PAD199
	" 554 (BC_2, IO_E17, input, X)," & --  PAD199
	" 555 (BC_2, *, controlr, 1)," &
	" 556 (BC_2, IO_H15, output3, X, 555, 1, PULL1)," & --  PAD198
	" 557 (BC_2, IO_H15, input, X)," & --  PAD198
	" 558 (BC_2, *, controlr, 1)," &
	" 559 (BC_2, IO_G15, output3, X, 558, 1, PULL1)," & --  PAD197
	" 560 (BC_2, IO_G15, input, X)," & --  PAD197
	" 561 (BC_2, *, controlr, 1)," &
	" 562 (BC_2, IO_D18, output3, X, 561, 1, PULL1)," & --  PAD196
	" 563 (BC_2, IO_D18, input, X)," & --  PAD196
	" 564 (BC_2, *, controlr, 1)," &
	" 565 (BC_2, IO_D17, output3, X, 564, 1, PULL1)," & --  PAD195
	" 566 (BC_2, IO_D17, input, X)," & --  PAD195
	" 567 (BC_2, *, controlr, 1)," &
	" 568 (BC_2, IO_H13, output3, X, 567, 1, PULL1)," & --  PAD194
	" 569 (BC_2, IO_H13, input, X)," & --  PAD194
	" 570 (BC_2, *, controlr, 1)," &
	" 571 (BC_2, IO_H12, output3, X, 570, 1, PULL1)," & --  PAD193
	" 572 (BC_2, IO_H12, input, X)," & --  PAD193
	" 573 (BC_2, *, controlr, 1)," &
	" 574 (BC_2, IO_H16, output3, X, 573, 1, PULL1)," & --  PAD192
	" 575 (BC_2, IO_H16, input, X)," & --  PAD192
	" 576 (BC_2, *, controlr, 1)," &
	" 577 (BC_2, IO_G16, output3, X, 576, 1, PULL1)," & --  PAD191
	" 578 (BC_2, IO_G16, input, X)," & --  PAD191
	" 579 (BC_2, *, controlr, 1)," &
	" 580 (BC_2, IO_F14, output3, X, 579, 1, PULL1)," & --  PAD190
	" 581 (BC_2, IO_F14, input, X)," & --  PAD190
	" 582 (BC_2, *, controlr, 1)," &
	" 583 (BC_2, IO_G14, output3, X, 582, 1, PULL1)," & --  PAD189
	" 584 (BC_2, IO_G14, input, X)," & --  PAD189
	" 585 (BC_2, *, controlr, 1)," &
	" 586 (BC_2, IO_C18, output3, X, 585, 1, PULL1)," & --  PAD188
	" 587 (BC_2, IO_C18, input, X)," & --  PAD188
	" 588 (BC_2, *, controlr, 1)," &
	" 589 (BC_2, IO_B18, output3, X, 588, 1, PULL1)," & --  PAD187
	" 590 (BC_2, IO_B18, input, X)," & --  PAD187
	" 591 (BC_2, *, controlr, 1)," &
	" 592 (BC_2, IO_B13, output3, X, 591, 1, PULL1)," & --  PAD186
	" 593 (BC_2, IO_B13, input, X)," & --  PAD186
	" 594 (BC_2, *, controlr, 1)," &
	" 595 (BC_2, IO_A13, output3, X, 594, 1, PULL1)," & --  PAD185
	" 596 (BC_2, IO_A13, input, X)," & --  PAD185
	" 597 (BC_2, *, controlr, 1)," &
	" 598 (BC_2, IO_C17, output3, X, 597, 1, PULL1)," & --  PAD184
	" 599 (BC_2, IO_C17, input, X)," & --  PAD184
	" 600 (BC_2, *, controlr, 1)," &
	" 601 (BC_2, IO_C16, output3, X, 600, 1, PULL1)," & --  PAD183
	" 602 (BC_2, IO_C16, input, X)," & --  PAD183
	" 603 (BC_2, *, controlr, 1)," &
	" 604 (BC_2, IO_B19, output3, X, 603, 1, PULL1)," & --  PAD182
	" 605 (BC_2, IO_B19, input, X)," & --  PAD182
	" 606 (BC_2, *, controlr, 1)," &
	" 607 (BC_2, IO_A19, output3, X, 606, 1, PULL1)," & --  PAD181
	" 608 (BC_2, IO_A19, input, X)," & --  PAD181
	" 609 (BC_2, *, controlr, 1)," &
	" 610 (BC_2, IO_F16, output3, X, 609, 1, PULL1)," & --  PAD180
	" 611 (BC_2, IO_F16, input, X)," & --  PAD180
	" 612 (BC_2, *, controlr, 1)," &
	" 613 (BC_2, IO_E16, output3, X, 612, 1, PULL1)," & --  PAD179
	" 614 (BC_2, IO_E16, input, X)," & --  PAD179
	" 615 (BC_2, *, controlr, 1)," &
	" 616 (BC_2, IO_D13, output3, X, 615, 1, PULL1)," & --  PAD178
	" 617 (BC_2, IO_D13, input, X)," & --  PAD178
	" 618 (BC_2, *, controlr, 1)," &
	" 619 (BC_2, IO_C13, output3, X, 618, 1, PULL1)," & --  PAD177
	" 620 (BC_2, IO_C13, input, X)," & --  PAD177
	" 621 (BC_2, *, controlr, 1)," &
	" 622 (BC_2, IO_A18, output3, X, 621, 1, PULL1)," & --  PAD176
	" 623 (BC_2, IO_A18, input, X)," & --  PAD176
	" 624 (BC_2, *, controlr, 1)," &
	" 625 (BC_2, IO_A17, output3, X, 624, 1, PULL1)," & --  PAD175
	" 626 (BC_2, IO_A17, input, X)," & --  PAD175
	" 627 (BC_2, *, controlr, 1)," &
	" 628 (BC_2, IO_E12, output3, X, 627, 1, PULL1)," & --  PAD174
	" 629 (BC_2, IO_E12, input, X)," & --  PAD174
	" 630 (BC_2, *, controlr, 1)," &
	" 631 (BC_2, IO_F12, output3, X, 630, 1, PULL1)," & --  PAD173
	" 632 (BC_2, IO_F12, input, X)," & --  PAD173
	" 633 (BC_2, *, controlr, 1)," &
	" 634 (BC_2, IO_B16, output3, X, 633, 1, PULL1)," & --  PAD172
	" 635 (BC_2, IO_B16, input, X)," & --  PAD172
	" 636 (BC_2, *, controlr, 1)," &
	" 637 (BC_2, IO_A16, output3, X, 636, 1, PULL1)," & --  PAD171
	" 638 (BC_2, IO_A16, input, X)," & --  PAD171
	" 639 (BC_2, *, controlr, 1)," &
	" 640 (BC_2, IO_G13, output3, X, 639, 1, PULL1)," & --  PAD170
	" 641 (BC_2, IO_G13, input, X)," & --  PAD170
	" 642 (BC_2, *, controlr, 1)," &
	" 643 (BC_2, IO_F13, output3, X, 642, 1, PULL1)," & --  PAD169
	" 644 (BC_2, IO_F13, input, X)," & --  PAD169
	" 645 (BC_2, *, controlr, 1)," &
	" 646 (BC_2, IO_E15, output3, X, 645, 1, PULL1)," & --  PAD168
	" 647 (BC_2, IO_E15, input, X)," & --  PAD168
	" 648 (BC_2, *, controlr, 1)," &
	" 649 (BC_2, IO_D15, output3, X, 648, 1, PULL1)," & --  PAD167
	" 650 (BC_2, IO_D15, input, X)," & --  PAD167
	" 651 (BC_2, *, controlr, 1)," &
	" 652 (BC_2, IO_B14, output3, X, 651, 1, PULL1)," & --  PAD166
	" 653 (BC_2, IO_B14, input, X)," & --  PAD166
	" 654 (BC_2, *, controlr, 1)," &
	" 655 (BC_2, IO_A14, output3, X, 654, 1, PULL1)," & --  PAD165
	" 656 (BC_2, IO_A14, input, X)," & --  PAD165
	" 657 (BC_2, *, controlr, 1)," &
	" 658 (BC_2, IO_C15, output3, X, 657, 1, PULL1)," & --  PAD164
	" 659 (BC_2, IO_C15, input, X)," & --  PAD164
	" 660 (BC_2, *, controlr, 1)," &
	" 661 (BC_2, IO_B15, output3, X, 660, 1, PULL1)," & --  PAD163
	" 662 (BC_2, IO_B15, input, X)," & --  PAD163
	" 663 (BC_2, *, controlr, 1)," &
	" 664 (BC_2, IO_E14, output3, X, 663, 1, PULL1)," & --  PAD162
	" 665 (BC_2, IO_E14, input, X)," & --  PAD162
	" 666 (BC_2, *, controlr, 1)," &
	" 667 (BC_2, IO_D14, output3, X, 666, 1, PULL1)," & --  PAD161
	" 668 (BC_2, IO_D14, input, X)," & --  PAD161
	" 669 (BC_2, *, internal, 1)," & --  PAD160.T
	" 670 (BC_2, *, internal, X)," & --  PAD160.O
	" 671 (BC_2, *, internal, X)," & --  PAD160.I
	" 672 (BC_2, *, internal, 1)," & --  PAD159.T
	" 673 (BC_2, *, internal, X)," & --  PAD159.O
	" 674 (BC_2, *, internal, X)," & --  PAD159.I
	" 675 (BC_2, *, internal, 1)," & --  PAD158.T
	" 676 (BC_2, *, internal, X)," & --  PAD158.O
	" 677 (BC_2, *, internal, X)," & --  PAD158.I
	" 678 (BC_2, *, internal, 1)," & --  PAD157.T
	" 679 (BC_2, *, internal, X)," & --  PAD157.O
	" 680 (BC_2, *, internal, X)," & --  PAD157.I
	" 681 (BC_2, *, internal, 1)," & --  PAD156.T
	" 682 (BC_2, *, internal, X)," & --  PAD156.O
	" 683 (BC_2, *, internal, X)," & --  PAD156.I
	" 684 (BC_2, *, internal, 1)," & --  PAD155.T
	" 685 (BC_2, *, internal, X)," & --  PAD155.O
	" 686 (BC_2, *, internal, X)," & --  PAD155.I
	" 687 (BC_2, *, internal, 1)," & --  PAD154.T
	" 688 (BC_2, *, internal, X)," & --  PAD154.O
	" 689 (BC_2, *, internal, X)," & --  PAD154.I
	" 690 (BC_2, *, internal, 1)," & --  PAD153.T
	" 691 (BC_2, *, internal, X)," & --  PAD153.O
	" 692 (BC_2, *, internal, X)," & --  PAD153.I
	" 693 (BC_2, *, internal, 1)," & --  PAD152.T
	" 694 (BC_2, *, internal, X)," & --  PAD152.O
	" 695 (BC_2, *, internal, X)," & --  PAD152.I
	" 696 (BC_2, *, internal, 1)," & --  PAD151.T
	" 697 (BC_2, *, internal, X)," & --  PAD151.O
	" 698 (BC_2, *, internal, X)," & --  PAD151.I
	" 699 (BC_2, *, internal, 1)," & --  PAD150.T
	" 700 (BC_2, *, internal, X)," & --  PAD150.O
	" 701 (BC_2, *, internal, X)," & --  PAD150.I
	" 702 (BC_2, *, internal, 1)," & --  PAD149.T
	" 703 (BC_2, *, internal, X)," & --  PAD149.O
	" 704 (BC_2, *, internal, X)," & --  PAD149.I
	" 705 (BC_2, *, internal, 1)," & --  PAD148.T
	" 706 (BC_2, *, internal, X)," & --  PAD148.O
	" 707 (BC_2, *, internal, X)," & --  PAD148.I
	" 708 (BC_2, *, internal, 1)," & --  PAD147.T
	" 709 (BC_2, *, internal, X)," & --  PAD147.O
	" 710 (BC_2, *, internal, X)," & --  PAD147.I
	" 711 (BC_2, *, internal, 1)," & --  PAD146.T
	" 712 (BC_2, *, internal, X)," & --  PAD146.O
	" 713 (BC_2, *, internal, X)," & --  PAD146.I
	" 714 (BC_2, *, internal, 1)," & --  PAD145.T
	" 715 (BC_2, *, internal, X)," & --  PAD145.O
	" 716 (BC_2, *, internal, X)," & --  PAD145.I
	" 717 (BC_2, *, internal, 1)," & --  PAD144.T
	" 718 (BC_2, *, internal, X)," & --  PAD144.O
	" 719 (BC_2, *, internal, X)," & --  PAD144.I
	" 720 (BC_2, *, internal, 1)," & --  PAD143.T
	" 721 (BC_2, *, internal, X)," & --  PAD143.O
	" 722 (BC_2, *, internal, X)," & --  PAD143.I
	" 723 (BC_2, *, internal, 1)," & --  PAD142.T
	" 724 (BC_2, *, internal, X)," & --  PAD142.O
	" 725 (BC_2, *, internal, X)," & --  PAD142.I
	" 726 (BC_2, *, internal, 1)," & --  PAD141.T
	" 727 (BC_2, *, internal, X)," & --  PAD141.O
	" 728 (BC_2, *, internal, X)," & --  PAD141.I
	" 729 (BC_2, *, internal, 1)," & --  PAD140.T
	" 730 (BC_2, *, internal, X)," & --  PAD140.O
	" 731 (BC_2, *, internal, X)," & --  PAD140.I
	" 732 (BC_2, *, internal, 1)," & --  PAD139.T
	" 733 (BC_2, *, internal, X)," & --  PAD139.O
	" 734 (BC_2, *, internal, X)," & --  PAD139.I
	" 735 (BC_2, *, internal, 1)," & --  PAD138.T
	" 736 (BC_2, *, internal, X)," & --  PAD138.O
	" 737 (BC_2, *, internal, X)," & --  PAD138.I
	" 738 (BC_2, *, internal, 1)," & --  PAD137.T
	" 739 (BC_2, *, internal, X)," & --  PAD137.O
	" 740 (BC_2, *, internal, X)," & --  PAD137.I
	" 741 (BC_2, *, internal, 1)," & --  PAD136.T
	" 742 (BC_2, *, internal, X)," & --  PAD136.O
	" 743 (BC_2, *, internal, X)," & --  PAD136.I
	" 744 (BC_2, *, internal, 1)," & --  PAD135.T
	" 745 (BC_2, *, internal, X)," & --  PAD135.O
	" 746 (BC_2, *, internal, X)," & --  PAD135.I
	" 747 (BC_2, *, internal, 1)," & --  PAD134.T
	" 748 (BC_2, *, internal, X)," & --  PAD134.O
	" 749 (BC_2, *, internal, X)," & --  PAD134.I
	" 750 (BC_2, *, internal, 1)," & --  PAD133.T
	" 751 (BC_2, *, internal, X)," & --  PAD133.O
	" 752 (BC_2, *, internal, X)," & --  PAD133.I
	" 753 (BC_2, *, internal, 1)," & --  PAD132.T
	" 754 (BC_2, *, internal, X)," & --  PAD132.O
	" 755 (BC_2, *, internal, X)," & --  PAD132.I
	" 756 (BC_2, *, internal, 1)," & --  PAD131.T
	" 757 (BC_2, *, internal, X)," & --  PAD131.O
	" 758 (BC_2, *, internal, X)," & --  PAD131.I
	" 759 (BC_2, *, internal, 1)," & --  PAD130.T
	" 760 (BC_2, *, internal, X)," & --  PAD130.O
	" 761 (BC_2, *, internal, X)," & --  PAD130.I
	" 762 (BC_2, *, internal, 1)," & --  PAD129.T
	" 763 (BC_2, *, internal, X)," & --  PAD129.O
	" 764 (BC_2, *, internal, X)," & --  PAD129.I
	" 765 (BC_2, *, internal, 1)," & --  PAD128.T
	" 766 (BC_2, *, internal, X)," & --  PAD128.O
	" 767 (BC_2, *, internal, X)," & --  PAD128.I
	" 768 (BC_2, *, internal, 1)," & --  PAD127.T
	" 769 (BC_2, *, internal, X)," & --  PAD127.O
	" 770 (BC_2, *, internal, X)," & --  PAD127.I
	" 771 (BC_2, *, internal, 1)," & --  PAD126.T
	" 772 (BC_2, *, internal, X)," & --  PAD126.O
	" 773 (BC_2, *, internal, X)," & --  PAD126.I
	" 774 (BC_2, *, internal, 1)," & --  PAD125.T
	" 775 (BC_2, *, internal, X)," & --  PAD125.O
	" 776 (BC_2, *, internal, X)," & --  PAD125.I
	" 777 (BC_2, *, internal, 1)," & --  PAD124.T
	" 778 (BC_2, *, internal, X)," & --  PAD124.O
	" 779 (BC_2, *, internal, X)," & --  PAD124.I
	" 780 (BC_2, *, internal, 1)," & --  PAD123.T
	" 781 (BC_2, *, internal, X)," & --  PAD123.O
	" 782 (BC_2, *, internal, X)," & --  PAD123.I
	" 783 (BC_2, *, internal, 1)," & --  PAD122.T
	" 784 (BC_2, *, internal, X)," & --  PAD122.O
	" 785 (BC_2, *, internal, X)," & --  PAD122.I
	" 786 (BC_2, *, internal, 1)," & --  PAD121.T
	" 787 (BC_2, *, internal, X)," & --  PAD121.O
	" 788 (BC_2, *, internal, X)," & --  PAD121.I
	" 789 (BC_2, *, internal, X)," &
	" 790 (BC_2, *, internal, X)," &
	" 791 (BC_2, *, internal, X)," &
	" 792 (BC_2, *, internal, X)," &
	" 793 (BC_2, *, controlr, 1)," &
	" 794 (BC_2, IO_V21, output3, X, 793, 1, PULL1)," & --  PAD120
	" 795 (BC_2, IO_V21, input, X)," & --  PAD120
	" 796 (BC_2, *, controlr, 1)," &
	" 797 (BC_2, IO_V20, output3, X, 796, 1, PULL1)," & --  PAD119
	" 798 (BC_2, IO_V20, input, X)," & --  PAD119
	" 799 (BC_2, *, controlr, 1)," &
	" 800 (BC_2, IO_W20, output3, X, 799, 1, PULL1)," & --  PAD118
	" 801 (BC_2, IO_W20, input, X)," & --  PAD118
	" 802 (BC_2, *, controlr, 1)," &
	" 803 (BC_2, IO_Y20, output3, X, 802, 1, PULL1)," & --  PAD117
	" 804 (BC_2, IO_Y20, input, X)," & --  PAD117
	" 805 (BC_2, *, controlr, 1)," &
	" 806 (BC_2, IO_T19, output3, X, 805, 1, PULL1)," & --  PAD116
	" 807 (BC_2, IO_T19, input, X)," & --  PAD116
	" 808 (BC_2, *, controlr, 1)," &
	" 809 (BC_2, IO_T18, output3, X, 808, 1, PULL1)," & --  PAD115
	" 810 (BC_2, IO_T18, input, X)," & --  PAD115
	" 811 (BC_2, *, controlr, 1)," &
	" 812 (BC_2, IO_AB21, output3, X, 811, 1, PULL1)," & --  PAD114
	" 813 (BC_2, IO_AB21, input, X)," & --  PAD114
	" 814 (BC_2, *, controlr, 1)," &
	" 815 (BC_2, IO_AB20, output3, X, 814, 1, PULL1)," & --  PAD113
	" 816 (BC_2, IO_AB20, input, X)," & --  PAD113
	" 817 (BC_2, *, controlr, 1)," &
	" 818 (BC_2, IO_Y21, output3, X, 817, 1, PULL1)," & --  PAD112
	" 819 (BC_2, IO_Y21, input, X)," & --  PAD112
	" 820 (BC_2, *, controlr, 1)," &
	" 821 (BC_2, IO_AA21, output3, X, 820, 1, PULL1)," & --  PAD111
	" 822 (BC_2, IO_AA21, input, X)," & --  PAD111
	" 823 (BC_2, *, controlr, 1)," &
	" 824 (BC_2, IO_AA22, output3, X, 823, 1, PULL1)," & --  PAD110
	" 825 (BC_2, IO_AA22, input, X)," & --  PAD110
	" 826 (BC_2, *, controlr, 1)," &
	" 827 (BC_2, IO_Y22, output3, X, 826, 1, PULL1)," & --  PAD109
	" 828 (BC_2, IO_Y22, input, X)," & --  PAD109
	" 829 (BC_2, *, controlr, 1)," &
	" 830 (BC_2, IO_U20, output3, X, 829, 1, PULL1)," & --  PAD108
	" 831 (BC_2, IO_U20, input, X)," & --  PAD108
	" 832 (BC_2, *, controlr, 1)," &
	" 833 (BC_2, IO_U19, output3, X, 832, 1, PULL1)," & --  PAD107
	" 834 (BC_2, IO_U19, input, X)," & --  PAD107
	" 835 (BC_2, *, controlr, 1)," &
	" 836 (BC_2, IO_R17, output3, X, 835, 1, PULL1)," & --  PAD106
	" 837 (BC_2, IO_R17, input, X)," & --  PAD106
	" 838 (BC_2, *, controlr, 1)," &
	" 839 (BC_2, IO_P18, output3, X, 838, 1, PULL1)," & --  PAD105
	" 840 (BC_2, IO_P18, input, X)," & --  PAD105
	" 841 (BC_2, *, controlr, 1)," &
	" 842 (BC_2, IO_R20, output3, X, 841, 1, PULL1)," & --  PAD104
	" 843 (BC_2, IO_R20, input, X)," & --  PAD104
	" 844 (BC_2, *, controlr, 1)," &
	" 845 (BC_2, IO_R19, output3, X, 844, 1, PULL1)," & --  PAD103
	" 846 (BC_2, IO_R19, input, X)," & --  PAD103
	" 847 (BC_2, *, controlr, 1)," &
	" 848 (BC_2, IO_V22, output3, X, 847, 1, PULL1)," & --  PAD102
	" 849 (BC_2, IO_V22, input, X)," & --  PAD102
	" 850 (BC_2, *, controlr, 1)," &
	" 851 (BC_2, IO_W22, output3, X, 850, 1, PULL1)," & --  PAD101
	" 852 (BC_2, IO_W22, input, X)," & --  PAD101
	" 853 (BC_2, *, controlr, 1)," &
	" 854 (BC_2, IO_P20, output3, X, 853, 1, PULL1)," & --  PAD100
	" 855 (BC_2, IO_P20, input, X)," & --  PAD100
	" 856 (BC_2, *, controlr, 1)," &
	" 857 (BC_2, IO_P19, output3, X, 856, 1, PULL1)," & --  PAD99
	" 858 (BC_2, IO_P19, input, X)," & --  PAD99
	" 859 (BC_2, *, controlr, 1)," &
	" 860 (BC_2, IO_T21, output3, X, 859, 1, PULL1)," & --  PAD98
	" 861 (BC_2, IO_T21, input, X)," & --  PAD98
	" 862 (BC_2, *, controlr, 1)," &
	" 863 (BC_2, IO_U21, output3, X, 862, 1, PULL1)," & --  PAD97
	" 864 (BC_2, IO_U21, input, X)," & --  PAD97
	" 865 (BC_2, *, controlr, 1)," &
	" 866 (BC_2, IO_N20, output3, X, 865, 1, PULL1)," & --  PAD96
	" 867 (BC_2, IO_N20, input, X)," & --  PAD96
	" 868 (BC_2, *, controlr, 1)," &
	" 869 (BC_2, IO_M21, output3, X, 868, 1, PULL1)," & --  PAD95
	" 870 (BC_2, IO_M21, input, X)," & --  PAD95
	" 871 (BC_2, *, controlr, 1)," &
	" 872 (BC_2, IO_P17, output3, X, 871, 1, PULL1)," & --  PAD94
	" 873 (BC_2, IO_P17, input, X)," & --  PAD94
	" 874 (BC_2, *, controlr, 1)," &
	" 875 (BC_2, IO_N17, output3, X, 874, 1, PULL1)," & --  PAD93
	" 876 (BC_2, IO_N17, input, X)," & --  PAD93
	" 877 (BC_2, *, controlr, 1)," &
	" 878 (BC_2, IO_T22, output3, X, 877, 1, PULL1)," & --  PAD92
	" 879 (BC_2, IO_T22, input, X)," & --  PAD92
	" 880 (BC_2, *, controlr, 1)," &
	" 881 (BC_2, IO_R21, output3, X, 880, 1, PULL1)," & --  PAD91
	" 882 (BC_2, IO_R21, input, X)," & --  PAD91
	" 883 (BC_2, *, controlr, 1)," &
	" 884 (BC_2, IO_R22, output3, X, 883, 1, PULL1)," & --  PAD90
	" 885 (BC_2, IO_R22, input, X)," & --  PAD90
	" 886 (BC_2, *, controlr, 1)," &
	" 887 (BC_2, IO_P22, output3, X, 886, 1, PULL1)," & --  PAD89
	" 888 (BC_2, IO_P22, input, X)," & --  PAD89
	" 889 (BC_2, *, controlr, 1)," &
	" 890 (BC_2, IO_L21, output3, X, 889, 1, PULL1)," & --  PAD88
	" 891 (BC_2, IO_L21, input, X)," & --  PAD88
	" 892 (BC_2, *, controlr, 1)," &
	" 893 (BC_2, IO_L22, output3, X, 892, 1, PULL1)," & --  PAD87
	" 894 (BC_2, IO_L22, input, X)," & --  PAD87
	" 895 (BC_2, *, controlr, 1)," &
	" 896 (BC_2, IO_N21, output3, X, 895, 1, PULL1)," & --  PAD86
	" 897 (BC_2, IO_N21, input, X)," & --  PAD86
	" 898 (BC_2, *, controlr, 1)," &
	" 899 (BC_2, IO_N22, output3, X, 898, 1, PULL1)," & --  PAD85
	" 900 (BC_2, IO_N22, input, X)," & --  PAD85
	" 901 (BC_2, *, controlr, 1)," &
	" 902 (BC_2, IO_M19, output3, X, 901, 1, PULL1)," & --  PAD84
	" 903 (BC_2, IO_M19, input, X)," & --  PAD84
	" 904 (BC_2, *, controlr, 1)," &
	" 905 (BC_2, IO_M20, output3, X, 904, 1, PULL1)," & --  PAD83
	" 906 (BC_2, IO_M20, input, X)," & --  PAD83
	" 907 (BC_2, *, controlr, 1)," &
	" 908 (BC_2, IO_N18, output3, X, 907, 1, PULL1)," & --  PAD82
	" 909 (BC_2, IO_N18, input, X)," & --  PAD82
	" 910 (BC_2, *, controlr, 1)," &
	" 911 (BC_2, IO_M18, output3, X, 910, 1, PULL1)," & --  PAD81
	" 912 (BC_2, IO_M18, input, X)," & --  PAD81
	" 913 (BC_2, *, controlr, 1)," &
	" 914 (BC_2, IO_K22, output3, X, 913, 1, PULL1)," & --  PAD80
	" 915 (BC_2, IO_K22, input, X)," & --  PAD80
	" 916 (BC_2, *, controlr, 1)," &
	" 917 (BC_2, IO_K21, output3, X, 916, 1, PULL1)," & --  PAD79
	" 918 (BC_2, IO_K21, input, X)," & --  PAD79
	" 919 (BC_2, *, controlr, 1)," &
	" 920 (BC_2, IO_H22, output3, X, 919, 1, PULL1)," & --  PAD78
	" 921 (BC_2, IO_H22, input, X)," & --  PAD78
	" 922 (BC_2, *, controlr, 1)," &
	" 923 (BC_2, IO_J22, output3, X, 922, 1, PULL1)," & --  PAD77
	" 924 (BC_2, IO_J22, input, X)," & --  PAD77
	" 925 (BC_2, *, controlr, 1)," &
	" 926 (BC_2, IO_G20, output3, X, 925, 1, PULL1)," & --  PAD76
	" 927 (BC_2, IO_G20, input, X)," & --  PAD76
	" 928 (BC_2, *, controlr, 1)," &
	" 929 (BC_2, IO_H20, output3, X, 928, 1, PULL1)," & --  PAD75
	" 930 (BC_2, IO_H20, input, X)," & --  PAD75
	" 931 (BC_2, *, controlr, 1)," &
	" 932 (BC_2, IO_L19, output3, X, 931, 1, PULL1)," & --  PAD74
	" 933 (BC_2, IO_L19, input, X)," & --  PAD74
	" 934 (BC_2, *, controlr, 1)," &
	" 935 (BC_2, IO_L18, output3, X, 934, 1, PULL1)," & --  PAD73
	" 936 (BC_2, IO_L18, input, X)," & --  PAD73
	" 937 (BC_2, *, controlr, 1)," &
	" 938 (BC_2, IO_H21, output3, X, 937, 1, PULL1)," & --  PAD72
	" 939 (BC_2, IO_H21, input, X)," & --  PAD72
	" 940 (BC_2, *, controlr, 1)," &
	" 941 (BC_2, IO_G21, output3, X, 940, 1, PULL1)," & --  PAD71
	" 942 (BC_2, IO_G21, input, X)," & --  PAD71
	" 943 (BC_2, *, controlr, 1)," &
	" 944 (BC_2, IO_H18, output3, X, 943, 1, PULL1)," & --  PAD70
	" 945 (BC_2, IO_H18, input, X)," & --  PAD70
	" 946 (BC_2, *, controlr, 1)," &
	" 947 (BC_2, IO_H17, output3, X, 946, 1, PULL1)," & --  PAD69
	" 948 (BC_2, IO_H17, input, X)," & --  PAD69
	" 949 (BC_2, *, controlr, 1)," &
	" 950 (BC_2, IO_K20, output3, X, 949, 1, PULL1)," & --  PAD68
	" 951 (BC_2, IO_K20, input, X)," & --  PAD68
	" 952 (BC_2, *, controlr, 1)," &
	" 953 (BC_2, IO_J20, output3, X, 952, 1, PULL1)," & --  PAD67
	" 954 (BC_2, IO_J20, input, X)," & --  PAD67
	" 955 (BC_2, *, controlr, 1)," &
	" 956 (BC_2, IO_F22, output3, X, 955, 1, PULL1)," & --  PAD66
	" 957 (BC_2, IO_F22, input, X)," & --  PAD66
	" 958 (BC_2, *, controlr, 1)," &
	" 959 (BC_2, IO_F21, output3, X, 958, 1, PULL1)," & --  PAD65
	" 960 (BC_2, IO_F21, input, X)," & --  PAD65
	" 961 (BC_2, *, controlr, 1)," &
	" 962 (BC_2, IO_E22, output3, X, 961, 1, PULL1)," & --  PAD64
	" 963 (BC_2, IO_E22, input, X)," & --  PAD64
	" 964 (BC_2, *, controlr, 1)," &
	" 965 (BC_2, IO_E21, output3, X, 964, 1, PULL1)," & --  PAD63
	" 966 (BC_2, IO_E21, input, X)," & --  PAD63
	" 967 (BC_2, *, controlr, 1)," &
	" 968 (BC_2, IO_D22, output3, X, 967, 1, PULL1)," & --  PAD62
	" 969 (BC_2, IO_D22, input, X)," & --  PAD62
	" 970 (BC_2, *, controlr, 1)," &
	" 971 (BC_2, IO_C22, output3, X, 970, 1, PULL1)," & --  PAD61
	" 972 (BC_2, IO_C22, input, X)," & --  PAD61
	" 973 (BC_2, *, controlr, 1)," &
	" 974 (BC_2, IO_E20, output3, X, 973, 1, PULL1)," & --  PAD60
	" 975 (BC_2, IO_E20, input, X)," & --  PAD60
	" 976 (BC_2, *, controlr, 1)," &
	" 977 (BC_2, IO_D20, output3, X, 976, 1, PULL1)," & --  PAD59
	" 978 (BC_2, IO_D20, input, X)," & --  PAD59
	" 979 (BC_2, *, controlr, 1)," &
	" 980 (BC_2, IO_J19, output3, X, 979, 1, PULL1)," & --  PAD58
	" 981 (BC_2, IO_J19, input, X)," & --  PAD58
	" 982 (BC_2, *, controlr, 1)," &
	" 983 (BC_2, IO_K19, output3, X, 982, 1, PULL1)," & --  PAD57
	" 984 (BC_2, IO_K19, input, X)," & --  PAD57
	" 985 (BC_2, *, controlr, 1)," &
	" 986 (BC_2, IO_D19, output3, X, 985, 1, PULL1)," & --  PAD56
	" 987 (BC_2, IO_D19, input, X)," & --  PAD56
	" 988 (BC_2, *, controlr, 1)," &
	" 989 (BC_2, IO_E19, output3, X, 988, 1, PULL1)," & --  PAD55
	" 990 (BC_2, IO_E19, input, X)," & --  PAD55
	" 991 (BC_2, *, controlr, 1)," &
	" 992 (BC_2, IO_L17, output3, X, 991, 1, PULL1)," & --  PAD54
	" 993 (BC_2, IO_L17, input, X)," & --  PAD54
	" 994 (BC_2, *, controlr, 1)," &
	" 995 (BC_2, IO_K17, output3, X, 994, 1, PULL1)," & --  PAD53
	" 996 (BC_2, IO_K17, input, X)," & --  PAD53
	" 997 (BC_2, *, controlr, 1)," &
	" 998 (BC_2, IO_C21, output3, X, 997, 1, PULL1)," & --  PAD52
	" 999 (BC_2, IO_C21, input, X)," & --  PAD52
	"1000 (BC_2, *, controlr, 1)," &
	"1001 (BC_2, IO_B22, output3, X, 1000, 1, PULL1)," & --  PAD51
	"1002 (BC_2, IO_B22, input, X)," & --  PAD51
	"1003 (BC_2, *, controlr, 1)," &
	"1004 (BC_2, IO_J17, output3, X, 1003, 1, PULL1)," & --  PAD50
	"1005 (BC_2, IO_J17, input, X)," & --  PAD50
	"1006 (BC_2, *, controlr, 1)," &
	"1007 (BC_2, IO_J18, output3, X, 1006, 1, PULL1)," & --  PAD49
	"1008 (BC_2, IO_J18, input, X)," & --  PAD49
	"1009 (BC_2, *, controlr, 1)," &
	"1010 (BC_2, IO_F19, output3, X, 1009, 1, PULL1)," & --  PAD48
	"1011 (BC_2, IO_F19, input, X)," & --  PAD48
	"1012 (BC_2, *, controlr, 1)," &
	"1013 (BC_2, IO_G19, output3, X, 1012, 1, PULL1)," & --  PAD47
	"1014 (BC_2, IO_G19, input, X)," & --  PAD47
	"1015 (BC_2, *, controlr, 1)," &
	"1016 (BC_2, IO_B21, output3, X, 1015, 1, PULL1)," & --  PAD46
	"1017 (BC_2, IO_B21, input, X)," & --  PAD46
	"1018 (BC_2, *, controlr, 1)," &
	"1019 (BC_2, IO_A21, output3, X, 1018, 1, PULL1)," & --  PAD45
	"1020 (BC_2, IO_A21, input, X)," & --  PAD45
	"1021 (BC_2, *, controlr, 1)," &
	"1022 (BC_2, IO_F18, output3, X, 1021, 1, PULL1)," & --  PAD44
	"1023 (BC_2, IO_F18, input, X)," & --  PAD44
	"1024 (BC_2, *, controlr, 1)," &
	"1025 (BC_2, IO_G18, output3, X, 1024, 1, PULL1)," & --  PAD43
	"1026 (BC_2, IO_G18, input, X)," & --  PAD43
	"1027 (BC_2, *, controlr, 1)," &
	"1028 (BC_2, IO_C20, output3, X, 1027, 1, PULL1)," & --  PAD42
	"1029 (BC_2, IO_C20, input, X)," & --  PAD42
	"1030 (BC_2, *, controlr, 1)," &
	"1031 (BC_2, IO_B20, output3, X, 1030, 1, PULL1)," & --  PAD41
	"1032 (BC_2, IO_B20, input, X)," & --  PAD41
	"1033 (BC_2, *, internal, 1)," & --  PAD40.T
	"1034 (BC_2, *, internal, X)," & --  PAD40.O
	"1035 (BC_2, *, internal, X)," & --  PAD40.I
	"1036 (BC_2, *, internal, 1)," & --  PAD39.T
	"1037 (BC_2, *, internal, X)," & --  PAD39.O
	"1038 (BC_2, *, internal, X)," & --  PAD39.I
	"1039 (BC_2, *, internal, 1)," & --  PAD38.T
	"1040 (BC_2, *, internal, X)," & --  PAD38.O
	"1041 (BC_2, *, internal, X)," & --  PAD38.I
	"1042 (BC_2, *, internal, 1)," & --  PAD37.T
	"1043 (BC_2, *, internal, X)," & --  PAD37.O
	"1044 (BC_2, *, internal, X)," & --  PAD37.I
	"1045 (BC_2, *, internal, 1)," & --  PAD36.T
	"1046 (BC_2, *, internal, X)," & --  PAD36.O
	"1047 (BC_2, *, internal, X)," & --  PAD36.I
	"1048 (BC_2, *, internal, 1)," & --  PAD35.T
	"1049 (BC_2, *, internal, X)," & --  PAD35.O
	"1050 (BC_2, *, internal, X)," & --  PAD35.I
	"1051 (BC_2, *, internal, 1)," & --  PAD34.T
	"1052 (BC_2, *, internal, X)," & --  PAD34.O
	"1053 (BC_2, *, internal, X)," & --  PAD34.I
	"1054 (BC_2, *, internal, 1)," & --  PAD33.T
	"1055 (BC_2, *, internal, X)," & --  PAD33.O
	"1056 (BC_2, *, internal, X)," & --  PAD33.I
	"1057 (BC_2, *, internal, 1)," & --  PAD32.T
	"1058 (BC_2, *, internal, X)," & --  PAD32.O
	"1059 (BC_2, *, internal, X)," & --  PAD32.I
	"1060 (BC_2, *, internal, 1)," & --  PAD31.T
	"1061 (BC_2, *, internal, X)," & --  PAD31.O
	"1062 (BC_2, *, internal, X)," & --  PAD31.I
	"1063 (BC_2, *, internal, 1)," & --  PAD30.T
	"1064 (BC_2, *, internal, X)," & --  PAD30.O
	"1065 (BC_2, *, internal, X)," & --  PAD30.I
	"1066 (BC_2, *, internal, 1)," & --  PAD29.T
	"1067 (BC_2, *, internal, X)," & --  PAD29.O
	"1068 (BC_2, *, internal, X)," & --  PAD29.I
	"1069 (BC_2, *, internal, 1)," & --  PAD28.T
	"1070 (BC_2, *, internal, X)," & --  PAD28.O
	"1071 (BC_2, *, internal, X)," & --  PAD28.I
	"1072 (BC_2, *, internal, 1)," & --  PAD27.T
	"1073 (BC_2, *, internal, X)," & --  PAD27.O
	"1074 (BC_2, *, internal, X)," & --  PAD27.I
	"1075 (BC_2, *, internal, 1)," & --  PAD26.T
	"1076 (BC_2, *, internal, X)," & --  PAD26.O
	"1077 (BC_2, *, internal, X)," & --  PAD26.I
	"1078 (BC_2, *, internal, 1)," & --  PAD25.T
	"1079 (BC_2, *, internal, X)," & --  PAD25.O
	"1080 (BC_2, *, internal, X)," & --  PAD25.I
	"1081 (BC_2, *, internal, 1)," & --  PAD24.T
	"1082 (BC_2, *, internal, X)," & --  PAD24.O
	"1083 (BC_2, *, internal, X)," & --  PAD24.I
	"1084 (BC_2, *, internal, 1)," & --  PAD23.T
	"1085 (BC_2, *, internal, X)," & --  PAD23.O
	"1086 (BC_2, *, internal, X)," & --  PAD23.I
	"1087 (BC_2, *, internal, 1)," & --  PAD22.T
	"1088 (BC_2, *, internal, X)," & --  PAD22.O
	"1089 (BC_2, *, internal, X)," & --  PAD22.I
	"1090 (BC_2, *, internal, 1)," & --  PAD21.T
	"1091 (BC_2, *, internal, X)," & --  PAD21.O
	"1092 (BC_2, *, internal, X)," & --  PAD21.I
	"1093 (BC_2, *, internal, 1)," & --  PAD20.T
	"1094 (BC_2, *, internal, X)," & --  PAD20.O
	"1095 (BC_2, *, internal, X)," & --  PAD20.I
	"1096 (BC_2, *, internal, 1)," & --  PAD19.T
	"1097 (BC_2, *, internal, X)," & --  PAD19.O
	"1098 (BC_2, *, internal, X)," & --  PAD19.I
	"1099 (BC_2, *, internal, 1)," & --  PAD18.T
	"1100 (BC_2, *, internal, X)," & --  PAD18.O
	"1101 (BC_2, *, internal, X)," & --  PAD18.I
	"1102 (BC_2, *, internal, 1)," & --  PAD17.T
	"1103 (BC_2, *, internal, X)," & --  PAD17.O
	"1104 (BC_2, *, internal, X)," & --  PAD17.I
	"1105 (BC_2, *, internal, 1)," & --  PAD16.T
	"1106 (BC_2, *, internal, X)," & --  PAD16.O
	"1107 (BC_2, *, internal, X)," & --  PAD16.I
	"1108 (BC_2, *, internal, 1)," & --  PAD15.T
	"1109 (BC_2, *, internal, X)," & --  PAD15.O
	"1110 (BC_2, *, internal, X)," & --  PAD15.I
	"1111 (BC_2, *, internal, 1)," & --  PAD14.T
	"1112 (BC_2, *, internal, X)," & --  PAD14.O
	"1113 (BC_2, *, internal, X)," & --  PAD14.I
	"1114 (BC_2, *, internal, 1)," & --  PAD13.T
	"1115 (BC_2, *, internal, X)," & --  PAD13.O
	"1116 (BC_2, *, internal, X)," & --  PAD13.I
	"1117 (BC_2, *, internal, 1)," & --  PAD12.T
	"1118 (BC_2, *, internal, X)," & --  PAD12.O
	"1119 (BC_2, *, internal, X)," & --  PAD12.I
	"1120 (BC_2, *, internal, 1)," & --  PAD11.T
	"1121 (BC_2, *, internal, X)," & --  PAD11.O
	"1122 (BC_2, *, internal, X)," & --  PAD11.I
	"1123 (BC_2, *, internal, 1)," & --  PAD10.T
	"1124 (BC_2, *, internal, X)," & --  PAD10.O
	"1125 (BC_2, *, internal, X)," & --  PAD10.I
	"1126 (BC_2, *, internal, 1)," & --  PAD9.T
	"1127 (BC_2, *, internal, X)," & --  PAD9.O
	"1128 (BC_2, *, internal, X)," & --  PAD9.I
	"1129 (BC_2, *, internal, 1)," & --  PAD8.T
	"1130 (BC_2, *, internal, X)," & --  PAD8.O
	"1131 (BC_2, *, internal, X)," & --  PAD8.I
	"1132 (BC_2, *, internal, 1)," & --  PAD7.T
	"1133 (BC_2, *, internal, X)," & --  PAD7.O
	"1134 (BC_2, *, internal, X)," & --  PAD7.I
	"1135 (BC_2, *, internal, 1)," & --  PAD6.T
	"1136 (BC_2, *, internal, X)," & --  PAD6.O
	"1137 (BC_2, *, internal, X)," & --  PAD6.I
	"1138 (BC_2, *, internal, 1)," & --  PAD5.T
	"1139 (BC_2, *, internal, X)," & --  PAD5.O
	"1140 (BC_2, *, internal, X)," & --  PAD5.I
	"1141 (BC_2, *, internal, 1)," & --  PAD4.T
	"1142 (BC_2, *, internal, X)," & --  PAD4.O
	"1143 (BC_2, *, internal, X)," & --  PAD4.I
	"1144 (BC_2, *, internal, 1)," & --  PAD3.T
	"1145 (BC_2, *, internal, X)," & --  PAD3.O
	"1146 (BC_2, *, internal, X)," & --  PAD3.I
	"1147 (BC_2, *, internal, 1)," & --  PAD2.T
	"1148 (BC_2, *, internal, X)," & --  PAD2.O
	"1149 (BC_2, *, internal, X)," & --  PAD2.I
	"1150 (BC_2, *, internal, 1)," & --  PAD1.T
	"1151 (BC_2, *, internal, X)," & --  PAD1.O
	"1152 (BC_2, *, internal, X)"; --  PAD1.I


-- Advanced I/O Description

attribute AIO_COMPONENT_CONFORMANCE of XC6VCX75T_FF484 : entity is
	"STD_1149_6_2003";

attribute AIO_EXTEST_Pulse_Execution of XC6VCX75T_FF484 : entity is
	"Wait_Duration TCK 15";

attribute AIO_EXTEST_Train_Execution of XC6VCX75T_FF484 : entity is
	"train 30, maximum_time 120.0e-6";

attribute AIO_Pin_Behavior of XC6VCX75T_FF484 : entity is
"MGTRXP0_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTTXP0_114; " &
"MGTTXP0_115; " &
"MGTTXP1_114; " &
"MGTTXP1_115; " &
"MGTTXP2_114; " &
"MGTTXP2_115; " &
"MGTTXP3_114; " &
"MGTTXP3_115 ";

-- Design Warning Section

attribute DESIGN_WARNING of XC6VCX75T_FF484 : entity is
        "This is a preliminary BSDL file which has not been verified." &
	"When no bitstream is loaded and GTPs are not instantiated," &
		"the boundary-scan cells associated with GTPs will not" &
		"capture correct state information.  To model the boundary-" &
		"scan cell behavior correctly post-configuration, use" &
		"BSDLanno to modify the BSDL file." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "To avoid losing the current configuration, the boundary scan" &
                "test vectors should keep the PROGRAM_B pin" &
                "high.  If the PROGRAM_B pin goes low by any means," &
                "the configuration will be cleared." &
        "PROGRAM_B can only be captured, not updated." &
                "The value at the pin is always used by the device." &
        "The disable result of a 3-stated I/O in this file" &
		"corresponds to HSWAPEN being low." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
	"Differential Serial IO pins do not support INTEST." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control value is not captured properly when" &
                "GTS is activated." &
	"The IEEE Std 1149.6 EXTEST_PULSE and EXTEST_TRAIN instructions" &
		"require a minimum TCK freq of 15 MHz and min temp of 0C." &
	"NOCONNECT pins should not be connected to any supply" &
		"or GND.  They should be left floating.";

end XC6VCX75T_FF484;