BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XCV200E_BG352

--$ XILINX$RCSfile: xcv200e_bg352.bsd,v $
--$ XILINX$Revision: 1.4 $
--
-- BSDL file for device XCV200E, package BG352
-- Xilinx, Inc. $State: PRELIMINARY $ $Date: 2007-08-21 15:42:21-07 $
-- Generated by createBSDL 2.20
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- For technical support, contact Xilinx as follows: 
-- North America 1-800-255-7778 hotline@xilinx.com 
-- United Kingdom +44 870 7350 610 eurosupport@xilinx.com
-- France  (33) 1 3463 0100 eurosupport@xilinx.com
-- Germany  (49) 89 991 54930 eurosupport@xilinx.com
-- Japan  (81) 3-3297-9163 jhotline@xilinx.com
--
--
-- BSDL verified to conform to 1149.1b-1994 syntax. This device has been 
-- tested by the Intellitech 1149.1 Verification Lab using the Intellitech
-- Eclipse(TM) Scan Diagnostic Tool and the Intellitech RCT(TM). This
-- device has been verified to operate according to the BSDL provided,
-- and is compatible with the IEEE 1149.1 standard when the operating 
-- instructions in the BSDL are followed. 
-- PH: 603-868-7116 or email: scansupport@intellitech.com
-- Verified February 20, 2001; JRG
--
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.  For an
--    example see: http://support.xilinx.com/techdocs/6664.htm
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- The boundary scan test vectors must keep the PROGRAM pin either 3-stated
-- or driving high. If the PROGRAM pin is driven low through any means,
-- the TAP controller will reset.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an LVTTL
-- input buffer. In order to properly capture a logic high value driven from one
-- of these IOBs into an input boundary scan cell, VCCO must be
-- at least 2V (Vih for LVTTL).
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- The disable result of a 3-stated I/O is set to PULL0 - the proper result
-- for configuration modes without the pre-configuration
-- pullups (M2,M1,M0 set to 000, 101, 110, or 111). If not in one of
-- these modes, all PULL0's should be PULL1's. Additionally, when in
-- EXTEST updating the values for M2, M1, and M0 will directly affect
-- the existence of the pre-configuration pullup.
-- It is therefore recommended to shift in the same mode being driven
-- externally when relying upon the disable result.
------------------------------------------------------------------------
-- | Generated on 08/20/07
-- | CR # 440168
-- | Details -  Changed ISC_SECURITY names and removed attribute;
-- |		1) Changed ISC_SECURITY_DATA to ISC_KEY_DATA
-- |		2) Changed ISC_PROGRAM_SECURITY to ISC_PROGRAM_KEY
-- |		3) Removed ISC_SECURITY attribute definition (6 lines)
------------------------------------------------------------------------

entity XCV200E_BG352 is

generic (PHYSICAL_PIN_MAP : string := "BG352" );

port (
	CCLK_C3: inout bit;
	DONE_AD3: inout bit;
	DXN_AD23: linkage bit;
	DXP_AE24: linkage bit;
	GCK0_AE13: in bit;
	GCK1_AF14: in bit;
	GCK2_B14: in bit;
	GCK3_D14: in bit;
	GND: linkage bit_vector (1 to 32);
	INIT_AD2: inout bit; --  PAD168
	M0_AD24: in bit;
	M1_AB23: in bit;
	M2_AC23: in bit;
	PROGRAM: in bit;
	TCK: in bit;
	TDI: in bit;
	TDO: out bit;
	TMS: in bit;
	VCCINT: linkage bit_vector (1 to 20);
	VCCO0: linkage bit_vector (1 to 3);
	VCCO1: linkage bit_vector (1 to 3);
	VCCO2: linkage bit_vector (1 to 3);
	VCCO3: linkage bit_vector (1 to 3);
	VCCO4: linkage bit_vector (1 to 3);
	VCCO5: linkage bit_vector (1 to 3);
	VCCO6: linkage bit_vector (1 to 3);
	VCCO7: linkage bit_vector (1 to 3);
	IO_A3: inout bit; --  PAD81
	IO_A4: inout bit; --  PAD74
	IO_A6: inout bit; --  PAD71
	IO_A7: inout bit; --  PAD67
	IO_A9: inout bit; --  PAD59
	IO_A11: inout bit; --  PAD53
	IO_A12: inout bit; --  PAD48
	IO_A13: inout bit; --  PAD43
	IO_A15: inout bit; --  PAD42
	IO_A16: inout bit; --  PAD33
	IO_A18: inout bit; --  PAD28
	IO_A21: inout bit; --  PAD18
	IO_A23: inout bit; --  PAD11
	IO_A24: inout bit; --  PAD10
	IO_B4: inout bit; --  PAD79
	IO_B5: inout bit; --  PAD75
	IO_B6: inout bit; --  PAD72
	IO_B7: inout bit; --  PAD68
	IO_B8: inout bit; --  PAD63
	IO_B9: inout bit; --  PAD61
	IO_B10: inout bit; --  PAD56
	IO_B11: inout bit; --  PAD54
	IO_B12: inout bit; --  PAD51
	IO_B13: inout bit; --  PAD46
	IO_B15: inout bit; --  PAD38
	IO_B17: inout bit; --  PAD31
	IO_B18: inout bit; --  PAD26
	IO_B19: inout bit; --  PAD22
	IO_B20: inout bit; --  PAD17
	IO_B21: inout bit; --  PAD15
	IO_B22: inout bit; --  PAD14
	IO_B23: inout bit; --  PAD8
	IO_B24: inout bit; --  PAD5
	IO_C1: inout bit; --  PAD92
	IO_C2: inout bit; --  PAD88
	IO_C4: inout bit; --  PAD84
	IO_C5: inout bit; --  PAD80
	IO_C6: inout bit; --  PAD77
	IO_C7: inout bit; --  PAD73
	IO_C8: inout bit; --  PAD69
	IO_C9: inout bit; --  PAD64
	IO_C10: inout bit; --  PAD62
	IO_C11: inout bit; --  PAD57
	IO_C12: inout bit; --  PAD52
	IO_C13: inout bit; --  PAD47
	IO_C15: inout bit; --  PAD37
	IO_C16: inout bit; --  PAD32
	IO_C17: inout bit; --  PAD27
	IO_C18: inout bit; --  PAD24
	IO_C19: inout bit; --  PAD21
	IO_C20: inout bit; --  PAD16
	IO_C21: inout bit; --  PAD13
	IO_C22: inout bit; --  PAD6
	IO_C23: inout bit; --  PAD4
	IO_C25: inout bit; --  PAD335
	IO_C26: inout bit; --  PAD331
	IO_D1: inout bit; --  PAD94
	IO_D2: inout bit; --  PAD91
	IO_D3: inout bit; --  PAD86
	IO_D5: inout bit; --  PAD83
	IO_D6: inout bit; --  PAD78
	IO_D8: inout bit; --  PAD70
	IO_D9: inout bit; --  PAD66
	IO_D11: inout bit; --  PAD58
	IO_D15: inout bit; --  PAD34
	IO_D16: inout bit; --  PAD29
	IO_D17: inout bit; --  PAD23
	IO_D18: inout bit; --  PAD19
	IO_D20: inout bit; --  PAD12
	IO_D21: inout bit; --  PAD7
	IO_D22: inout bit; --  PAD2
	IO_D24: inout bit; --  PAD333
	IO_D25: inout bit; --  PAD329
	IO_D26: inout bit; --  PAD324
	IO_E2: inout bit; --  PAD97
	IO_E3: inout bit; --  PAD89
	IO_E4: inout bit; --  PAD85
	IO_E23: inout bit; --  PAD332
	IO_E24: inout bit; --  PAD330
	IO_E25: inout bit; --  PAD325
	IO_F1: inout bit; --  PAD101
	IO_F2: inout bit; --  PAD98
	IO_F3: inout bit; --  PAD96
	IO_F4: inout bit; --  PAD90
	IO_F23: inout bit; --  PAD327
	IO_F24: inout bit; --  PAD326
	IO_F25: inout bit; --  PAD322
	IO_F26: inout bit; --  PAD321
	IO_G1: inout bit; --  PAD106
	IO_G2: inout bit; --  PAD100
	IO_G3: inout bit; --  PAD99
	IO_G4: inout bit; --  PAD95
	IO_G24: inout bit; --  PAD323
	IO_G25: inout bit; --  PAD318
	IO_G26: inout bit; --  PAD316
	IO_H2: inout bit; --  PAD105
	IO_H3: inout bit; --  PAD103
	IO_H23: inout bit; --  PAD320
	IO_H24: inout bit; --  PAD319
	IO_H25: inout bit; --  PAD314
	IO_J1: inout bit; --  PAD111
	IO_J2: inout bit; --  PAD108
	IO_J3: inout bit; --  PAD107
	IO_J4: inout bit; --  PAD102
	IO_J23: inout bit; --  PAD315
	IO_J25: inout bit; --  PAD310
	IO_J26: inout bit; --  PAD309
	IO_K2: inout bit; --  PAD113
	IO_K3: inout bit; --  PAD110
	IO_K23: inout bit; --  PAD313
	IO_K24: inout bit; --  PAD311
	IO_K25: inout bit; --  PAD305
	IO_L2: inout bit; --  PAD116
	IO_L3: inout bit; --  PAD115
	IO_L4: inout bit; --  PAD112
	IO_L23: inout bit; --  PAD308
	IO_L24: inout bit; --  PAD306
	IO_L26: inout bit; --  PAD304
	IO_M1: inout bit; --  PAD122
	IO_M2: inout bit; --  PAD121
	IO_M3: inout bit; --  PAD118
	IO_M4: inout bit; --  PAD117
	IO_M23: inout bit; --  PAD303
	IO_M24: inout bit; --  PAD300
	IO_M25: inout bit; --  PAD299
	IO_M26: inout bit; --  PAD298
	IO_N2: inout bit; --  PAD126
	IO_N3: inout bit; --  PAD123
	IO_N4: inout bit; --  PAD125
	IO_N24: inout bit; --  PAD296
	IO_N25: inout bit; --  PAD295
	IO_N26: inout bit; --  PAD294
	IO_P1: inout bit; --  PAD127
	IO_P3: inout bit; --  PAD130
	IO_P23: inout bit; --  PAD291
	IO_P24: inout bit; --  PAD290
	IO_R1: inout bit; --  PAD131
	IO_R2: inout bit; --  PAD132
	IO_R3: inout bit; --  PAD135
	IO_R4: inout bit; --  PAD136
	IO_R24: inout bit; --  PAD285
	IO_R25: inout bit; --  PAD286
	IO_R26: inout bit; --  PAD289
	IO_T2: inout bit; --  PAD137
	IO_T3: inout bit; --  PAD140
	IO_T4: inout bit; --  PAD141
	IO_T23: inout bit; --  PAD279
	IO_T24: inout bit; --  PAD281
	IO_T25: inout bit; --  PAD283
	IO_T26: inout bit; --  PAD284
	IO_U2: inout bit; --  PAD138
	IO_U3: inout bit; --  PAD145
	IO_U4: inout bit; --  PAD146
	IO_U23: inout bit; --  PAD274
	IO_U24: inout bit; --  PAD276
	IO_U25: inout bit; --  PAD280
	IO_V1: inout bit; --  PAD142
	IO_V2: inout bit; --  PAD143
	IO_V3: inout bit; --  PAD147
	IO_V4: inout bit; --  PAD148
	IO_V23: inout bit; --  PAD269
	IO_V25: inout bit; --  PAD275
	IO_V26: inout bit; --  PAD278
	IO_W3: inout bit; --  PAD152
	IO_W4: inout bit; --  PAD153
	IO_W24: inout bit; --  PAD270
	IO_W25: inout bit; --  PAD271
	IO_Y1: inout bit; --  PAD150
	IO_Y2: inout bit; --  PAD151
	IO_Y3: inout bit; --  PAD156
	IO_Y23: inout bit; --  PAD262
	IO_Y24: inout bit; --  PAD266
	IO_Y25: inout bit; --  PAD267
	IO_Y26: inout bit; --  PAD273
	IO_AA1: inout bit; --  PAD154
	IO_AA2: inout bit; --  PAD155
	IO_AA3: inout bit; --  PAD159
	IO_AA4: inout bit; --  PAD161
	IO_AA23: inout bit; --  PAD257
	IO_AA24: inout bit; --  PAD263
	IO_AA25: inout bit; --  PAD265
	IO_AA26: inout bit; --  PAD268
	IO_AB2: inout bit; --  PAD158
	IO_AB3: inout bit; --  PAD163
	IO_AB4: inout bit; --  PAD165
	IO_AB24: inout bit; --  PAD256
	IO_AB25: inout bit; --  PAD264
	IO_AC1: inout bit; --  PAD157
	IO_AC2: inout bit; --  PAD162
	IO_AC3: inout bit; --  PAD167
	IO_AC5: inout bit; --  PAD169
	IO_AC6: inout bit; --  PAD174
	IO_AC7: inout bit; --  PAD179
	IO_AC9: inout bit; --  PAD186
	IO_AC11: inout bit; --  PAD196
	IO_AC12: inout bit; --  PAD201
	IO_AC13: inout bit; --  PAD210
	IO_AC15: inout bit; --  PAD220
	IO_AC16: inout bit; --  PAD225
	IO_AC17: inout bit; --  PAD230
	IO_AC18: inout bit; --  PAD232
	IO_AC19: inout bit; --  PAD237
	IO_AC21: inout bit; --  PAD245
	IO_AC22: inout bit; --  PAD249
	IO_AC24: inout bit; --  PAD253
	IO_AC25: inout bit; --  PAD258
	IO_AC26: inout bit; --  PAD260
	IO_AD1: inout bit; --  PAD164
	IO_AD4: inout bit; --  PAD170
	IO_AD5: inout bit; --  PAD173
	IO_AD6: inout bit; --  PAD180
	IO_AD7: inout bit; --  PAD183
	IO_AD8: inout bit; --  PAD187
	IO_AD9: inout bit; --  PAD191
	IO_AD10: inout bit; --  PAD194
	IO_AD11: inout bit; --  PAD199
	IO_AD12: inout bit; --  PAD202
	IO_AD13: inout bit; --  PAD207
	IO_AD14: inout bit; --  PAD211
	IO_AD15: inout bit; --  PAD219
	IO_AD16: inout bit; --  PAD224
	IO_AD17: inout bit; --  PAD229
	IO_AD18: inout bit; --  PAD231
	IO_AD19: inout bit; --  PAD236
	IO_AD20: inout bit; --  PAD240
	IO_AD21: inout bit; --  PAD243
	IO_AD22: inout bit; --  PAD247
	IO_AD25: inout bit; --  PAD254
	IO_AD26: inout bit; --  PAD259
	IO_AE3: inout bit; --  PAD172
	IO_AE4: inout bit; --  PAD175
	IO_AE5: inout bit; --  PAD181
	IO_AE6: inout bit; --  PAD182
	IO_AE7: inout bit; --  PAD184
	IO_AE8: inout bit; --  PAD189
	IO_AE9: inout bit; --  PAD192
	IO_AE10: inout bit; --  PAD197
	IO_AE11: inout bit; --  PAD200
	IO_AE12: inout bit; --  PAD205
	IO_AE15: inout bit; --  PAD216
	IO_AE16: inout bit; --  PAD221
	IO_AE17: inout bit; --  PAD222
	IO_AE18: inout bit; --  PAD227
	IO_AE20: inout bit; --  PAD235
	IO_AE21: inout bit; --  PAD239
	IO_AE22: inout bit; --  PAD242
	IO_AE23: inout bit; --  PAD246
	IO_AF3: inout bit; --  PAD176
	IO_AF4: inout bit; --  PAD178
	IO_AF6: inout bit; --  PAD185
	IO_AF7: inout bit; --  PAD190
	IO_AF9: inout bit; --  PAD195
	IO_AF12: inout bit; --  PAD206
	IO_AF15: inout bit; --  PAD215
	IO_AF18: inout bit; --  PAD226
	IO_AF20: inout bit; --  PAD234
	IO_AF21: inout bit; --  PAD238
	IO_AF23: inout bit; --  PAD241
	IO_AF24: inout bit --  PAD248
); --end port list

use STD_1149_1_1994.all;

attribute COMPONENT_CONFORMANCE of XCV200E_BG352 : entity is
	"STD_1149_1_1993";

attribute PIN_MAP of XCV200E_BG352 : entity is PHYSICAL_PIN_MAP;

constant BG352: PIN_MAP_STRING:=
	"CCLK_C3:C3," &
	"DONE_AD3:AD3," &
	"DXN_AD23:AD23," &
	"DXP_AE24:AE24," &
	"GCK0_AE13:AE13," &
	"GCK1_AF14:AF14," &
	"GCK2_B14:B14," &
	"GCK3_D14:D14," &
	"GND:(A1,A2,A5,A8,A14,A19,A22,A25,A26,B1," &
		"B26,E1,E26,H1,H26,N1,P26,W1,W26,AB1," &
		"AB26,AE1,AE26,AF1,AF2,AF5,AF8,AF13,AF19,AF22," &
		"AF25,AF26)," &
	"INIT_AD2:AD2," &
	"M0_AD24:AD24," &
	"M1_AB23:AB23," &
	"M2_AC23:AC23," &
	"PROGRAM:AC4," &
	"TCK:C24," &
	"TDI:B3," &
	"TDO:D4," &
	"TMS:D23," &
	"VCCINT:(A20,B16,C14,D10,D12,J24,K4,L1,L25,P2," &
		"P25,R23,T1,V24,W2,AC10,AE14,AE19,AF11,AF16)," &
	"VCCO0:(A17,B25,D19)," &
	"VCCO1:(A10,D7,D13)," &
	"VCCO2:(B2,H4,K1)," &
	"VCCO3:(P4,U1,Y4)," &
	"VCCO4:(AC8,AE2,AF10)," &
	"VCCO5:(AC14,AC20,AF17)," &
	"VCCO6:(U26,W23,AE25)," &
	"VCCO7:(G23,K26,N23)," &
	"IO_A3:A3," &
	"IO_A4:A4," &
	"IO_A6:A6," &
	"IO_A7:A7," &
	"IO_A9:A9," &
	"IO_A11:A11," &
	"IO_A12:A12," &
	"IO_A13:A13," &
	"IO_A15:A15," &
	"IO_A16:A16," &
	"IO_A18:A18," &
	"IO_A21:A21," &
	"IO_A23:A23," &
	"IO_A24:A24," &
	"IO_B4:B4," &
	"IO_B5:B5," &
	"IO_B6:B6," &
	"IO_B7:B7," &
	"IO_B8:B8," &
	"IO_B9:B9," &
	"IO_B10:B10," &
	"IO_B11:B11," &
	"IO_B12:B12," &
	"IO_B13:B13," &
	"IO_B15:B15," &
	"IO_B17:B17," &
	"IO_B18:B18," &
	"IO_B19:B19," &
	"IO_B20:B20," &
	"IO_B21:B21," &
	"IO_B22:B22," &
	"IO_B23:B23," &
	"IO_B24:B24," &
	"IO_C1:C1," &
	"IO_C2:C2," &
	"IO_C4:C4," &
	"IO_C5:C5," &
	"IO_C6:C6," &
	"IO_C7:C7," &
	"IO_C8:C8," &
	"IO_C9:C9," &
	"IO_C10:C10," &
	"IO_C11:C11," &
	"IO_C12:C12," &
	"IO_C13:C13," &
	"IO_C15:C15," &
	"IO_C16:C16," &
	"IO_C17:C17," &
	"IO_C18:C18," &
	"IO_C19:C19," &
	"IO_C20:C20," &
	"IO_C21:C21," &
	"IO_C22:C22," &
	"IO_C23:C23," &
	"IO_C25:C25," &
	"IO_C26:C26," &
	"IO_D1:D1," &
	"IO_D2:D2," &
	"IO_D3:D3," &
	"IO_D5:D5," &
	"IO_D6:D6," &
	"IO_D8:D8," &
	"IO_D9:D9," &
	"IO_D11:D11," &
	"IO_D15:D15," &
	"IO_D16:D16," &
	"IO_D17:D17," &
	"IO_D18:D18," &
	"IO_D20:D20," &
	"IO_D21:D21," &
	"IO_D22:D22," &
	"IO_D24:D24," &
	"IO_D25:D25," &
	"IO_D26:D26," &
	"IO_E2:E2," &
	"IO_E3:E3," &
	"IO_E4:E4," &
	"IO_E23:E23," &
	"IO_E24:E24," &
	"IO_E25:E25," &
	"IO_F1:F1," &
	"IO_F2:F2," &
	"IO_F3:F3," &
	"IO_F4:F4," &
	"IO_F23:F23," &
	"IO_F24:F24," &
	"IO_F25:F25," &
	"IO_F26:F26," &
	"IO_G1:G1," &
	"IO_G2:G2," &
	"IO_G3:G3," &
	"IO_G4:G4," &
	"IO_G24:G24," &
	"IO_G25:G25," &
	"IO_G26:G26," &
	"IO_H2:H2," &
	"IO_H3:H3," &
	"IO_H23:H23," &
	"IO_H24:H24," &
	"IO_H25:H25," &
	"IO_J1:J1," &
	"IO_J2:J2," &
	"IO_J3:J3," &
	"IO_J4:J4," &
	"IO_J23:J23," &
	"IO_J25:J25," &
	"IO_J26:J26," &
	"IO_K2:K2," &
	"IO_K3:K3," &
	"IO_K23:K23," &
	"IO_K24:K24," &
	"IO_K25:K25," &
	"IO_L2:L2," &
	"IO_L3:L3," &
	"IO_L4:L4," &
	"IO_L23:L23," &
	"IO_L24:L24," &
	"IO_L26:L26," &
	"IO_M1:M1," &
	"IO_M2:M2," &
	"IO_M3:M3," &
	"IO_M4:M4," &
	"IO_M23:M23," &
	"IO_M24:M24," &
	"IO_M25:M25," &
	"IO_M26:M26," &
	"IO_N2:N2," &
	"IO_N3:N3," &
	"IO_N4:N4," &
	"IO_N24:N24," &
	"IO_N25:N25," &
	"IO_N26:N26," &
	"IO_P1:P1," &
	"IO_P3:P3," &
	"IO_P23:P23," &
	"IO_P24:P24," &
	"IO_R1:R1," &
	"IO_R2:R2," &
	"IO_R3:R3," &
	"IO_R4:R4," &
	"IO_R24:R24," &
	"IO_R25:R25," &
	"IO_R26:R26," &
	"IO_T2:T2," &
	"IO_T3:T3," &
	"IO_T4:T4," &
	"IO_T23:T23," &
	"IO_T24:T24," &
	"IO_T25:T25," &
	"IO_T26:T26," &
	"IO_U2:U2," &
	"IO_U3:U3," &
	"IO_U4:U4," &
	"IO_U23:U23," &
	"IO_U24:U24," &
	"IO_U25:U25," &
	"IO_V1:V1," &
	"IO_V2:V2," &
	"IO_V3:V3," &
	"IO_V4:V4," &
	"IO_V23:V23," &
	"IO_V25:V25," &
	"IO_V26:V26," &
	"IO_W3:W3," &
	"IO_W4:W4," &
	"IO_W24:W24," &
	"IO_W25:W25," &
	"IO_Y1:Y1," &
	"IO_Y2:Y2," &
	"IO_Y3:Y3," &
	"IO_Y23:Y23," &
	"IO_Y24:Y24," &
	"IO_Y25:Y25," &
	"IO_Y26:Y26," &
	"IO_AA1:AA1," &
	"IO_AA2:AA2," &
	"IO_AA3:AA3," &
	"IO_AA4:AA4," &
	"IO_AA23:AA23," &
	"IO_AA24:AA24," &
	"IO_AA25:AA25," &
	"IO_AA26:AA26," &
	"IO_AB2:AB2," &
	"IO_AB3:AB3," &
	"IO_AB4:AB4," &
	"IO_AB24:AB24," &
	"IO_AB25:AB25," &
	"IO_AC1:AC1," &
	"IO_AC2:AC2," &
	"IO_AC3:AC3," &
	"IO_AC5:AC5," &
	"IO_AC6:AC6," &
	"IO_AC7:AC7," &
	"IO_AC9:AC9," &
	"IO_AC11:AC11," &
	"IO_AC12:AC12," &
	"IO_AC13:AC13," &
	"IO_AC15:AC15," &
	"IO_AC16:AC16," &
	"IO_AC17:AC17," &
	"IO_AC18:AC18," &
	"IO_AC19:AC19," &
	"IO_AC21:AC21," &
	"IO_AC22:AC22," &
	"IO_AC24:AC24," &
	"IO_AC25:AC25," &
	"IO_AC26:AC26," &
	"IO_AD1:AD1," &
	"IO_AD4:AD4," &
	"IO_AD5:AD5," &
	"IO_AD6:AD6," &
	"IO_AD7:AD7," &
	"IO_AD8:AD8," &
	"IO_AD9:AD9," &
	"IO_AD10:AD10," &
	"IO_AD11:AD11," &
	"IO_AD12:AD12," &
	"IO_AD13:AD13," &
	"IO_AD14:AD14," &
	"IO_AD15:AD15," &
	"IO_AD16:AD16," &
	"IO_AD17:AD17," &
	"IO_AD18:AD18," &
	"IO_AD19:AD19," &
	"IO_AD20:AD20," &
	"IO_AD21:AD21," &
	"IO_AD22:AD22," &
	"IO_AD25:AD25," &
	"IO_AD26:AD26," &
	"IO_AE3:AE3," &
	"IO_AE4:AE4," &
	"IO_AE5:AE5," &
	"IO_AE6:AE6," &
	"IO_AE7:AE7," &
	"IO_AE8:AE8," &
	"IO_AE9:AE9," &
	"IO_AE10:AE10," &
	"IO_AE11:AE11," &
	"IO_AE12:AE12," &
	"IO_AE15:AE15," &
	"IO_AE16:AE16," &
	"IO_AE17:AE17," &
	"IO_AE18:AE18," &
	"IO_AE20:AE20," &
	"IO_AE21:AE21," &
	"IO_AE22:AE22," &
	"IO_AE23:AE23," &
	"IO_AF3:AF3," &
	"IO_AF4:AF4," &
	"IO_AF6:AF6," &
	"IO_AF7:AF7," &
	"IO_AF9:AF9," &
	"IO_AF12:AF12," &
	"IO_AF15:AF15," &
	"IO_AF18:AF18," &
	"IO_AF20:AF20," &
	"IO_AF21:AF21," &
	"IO_AF23:AF23," &
	"IO_AF24:AF24";


attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
  
attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);
  

attribute COMPLIANCE_PATTERNS of XCV200E_BG352 : entity is
        "(PROGRAM) (1)";

attribute INSTRUCTION_LENGTH of XCV200E_BG352 : entity is 5;

attribute INSTRUCTION_OPCODE of XCV200E_BG352 : entity is
	"SAMPLE (00001)," &
	"INTEST (00111)," &
	"USERCODE (01000)," &
	"IDCODE (01001)," &
	"HIGHZ (01010)," &
	"JSTART (01100)," & -- Not available during configuration with another mode.
	"RESERVED (00110)," &
	"CFG_OUT (00100)," & -- Not available during configuration with another mode.
	"CFG_IN (00101)," & -- Not available during configuration with another mode.
	"USER2 (00011)," & -- Not available until after configuration
	"USER1 (00010)," & -- Not available until after configuration
	"EXTEST (00000)," &
	"BYPASS (11111)";


attribute INSTRUCTION_CAPTURE of XCV200E_BG352 : entity is "XXX01";
-- Bit 4 of instruction capture is CRC_ERROR (1 if a CRC error occurred)
-- Bit 3 indicates whether CRC register is non-zero (1 if non-zero)
-- Bit 2 is DONE.

  

 
 
attribute INSTRUCTION_PRIVATE of XCV200E_BG352 : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
	"USER1," &
	"USER2," &
	"JSTART," &
	"CFG_IN," &
	"RESERVED," &
	"CFG_OUT";

 

 
attribute IDCODE_REGISTER of XCV200E_BG352 : entity is
	"XXXX" &	-- version
	"0000101" &	-- family
	"000011100" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1

 

 
attribute USERCODE_REGISTER of XCV200E_BG352 : entity is
	"XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
 

 
attribute REGISTER_ACCESS of XCV200E_BG352 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
	"BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,INTEST,EXTEST)";

 

attribute BOUNDARY_LENGTH of XCV200E_BG352 : entity is 1022;

attribute BOUNDARY_REGISTER of XCV200E_BG352 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_1, *, controlr, 1)," &
	"   1 (BC_1, IO_C4, output3, X, 0, 1, PULL0)," & --  PAD84
	"   2 (BC_1, IO_C4, input, X)," & --  PAD84
	"   3 (BC_1, *, controlr, 1)," &
	"   4 (BC_1, IO_D5, output3, X, 3, 1, PULL0)," & --  PAD83
	"   5 (BC_1, IO_D5, input, X)," & --  PAD83
	"   6 (BC_1, *, internal, X)," &
	"   7 (BC_1, *, internal, X)," &
	"   8 (BC_1, *, internal, X)," &
	"   9 (BC_1, *, controlr, 1)," &
	"  10 (BC_1, IO_A3, output3, X, 9, 1, PULL0)," & --  PAD81
	"  11 (BC_1, IO_A3, input, X)," & --  PAD81
	"  12 (BC_1, *, controlr, 1)," &
	"  13 (BC_1, IO_C5, output3, X, 12, 1, PULL0)," & --  PAD80
	"  14 (BC_1, IO_C5, input, X)," & --  PAD80
	"  15 (BC_1, *, controlr, 1)," &
	"  16 (BC_1, IO_B4, output3, X, 15, 1, PULL0)," & --  PAD79
	"  17 (BC_1, IO_B4, input, X)," & --  PAD79
	"  18 (BC_1, *, controlr, 1)," &
	"  19 (BC_1, IO_D6, output3, X, 18, 1, PULL0)," & --  PAD78
	"  20 (BC_1, IO_D6, input, X)," & --  PAD78
	"  21 (BC_1, *, controlr, 1)," &
	"  22 (BC_1, IO_C6, output3, X, 21, 1, PULL0)," & --  PAD77
	"  23 (BC_1, IO_C6, input, X)," & --  PAD77
	"  24 (BC_1, *, internal, X)," &
	"  25 (BC_1, *, internal, X)," &
	"  26 (BC_1, *, internal, X)," &
	"  27 (BC_1, *, controlr, 1)," &
	"  28 (BC_1, IO_B5, output3, X, 27, 1, PULL0)," & --  PAD75
	"  29 (BC_1, IO_B5, input, X)," & --  PAD75
	"  30 (BC_1, *, controlr, 1)," &
	"  31 (BC_1, IO_A4, output3, X, 30, 1, PULL0)," & --  PAD74
	"  32 (BC_1, IO_A4, input, X)," & --  PAD74
	"  33 (BC_1, *, controlr, 1)," &
	"  34 (BC_1, IO_C7, output3, X, 33, 1, PULL0)," & --  PAD73
	"  35 (BC_1, IO_C7, input, X)," & --  PAD73
	"  36 (BC_1, *, controlr, 1)," &
	"  37 (BC_1, IO_B6, output3, X, 36, 1, PULL0)," & --  PAD72
	"  38 (BC_1, IO_B6, input, X)," & --  PAD72
	"  39 (BC_1, *, controlr, 1)," &
	"  40 (BC_1, IO_A6, output3, X, 39, 1, PULL0)," & --  PAD71
	"  41 (BC_1, IO_A6, input, X)," & --  PAD71
	"  42 (BC_1, *, controlr, 1)," &
	"  43 (BC_1, IO_D8, output3, X, 42, 1, PULL0)," & --  PAD70
	"  44 (BC_1, IO_D8, input, X)," & --  PAD70
	"  45 (BC_1, *, controlr, 1)," &
	"  46 (BC_1, IO_C8, output3, X, 45, 1, PULL0)," & --  PAD69
	"  47 (BC_1, IO_C8, input, X)," & --  PAD69
	"  48 (BC_1, *, controlr, 1)," &
	"  49 (BC_1, IO_B7, output3, X, 48, 1, PULL0)," & --  PAD68
	"  50 (BC_1, IO_B7, input, X)," & --  PAD68
	"  51 (BC_1, *, controlr, 1)," &
	"  52 (BC_1, IO_A7, output3, X, 51, 1, PULL0)," & --  PAD67
	"  53 (BC_1, IO_A7, input, X)," & --  PAD67
	"  54 (BC_1, *, controlr, 1)," &
	"  55 (BC_1, IO_D9, output3, X, 54, 1, PULL0)," & --  PAD66
	"  56 (BC_1, IO_D9, input, X)," & --  PAD66
	"  57 (BC_1, *, internal, X)," &
	"  58 (BC_1, *, internal, X)," &
	"  59 (BC_1, *, internal, X)," &
	"  60 (BC_1, *, controlr, 1)," &
	"  61 (BC_1, IO_C9, output3, X, 60, 1, PULL0)," & --  PAD64
	"  62 (BC_1, IO_C9, input, X)," & --  PAD64
	"  63 (BC_1, *, controlr, 1)," &
	"  64 (BC_1, IO_B8, output3, X, 63, 1, PULL0)," & --  PAD63
	"  65 (BC_1, IO_B8, input, X)," & --  PAD63
	"  66 (BC_1, *, controlr, 1)," &
	"  67 (BC_1, IO_C10, output3, X, 66, 1, PULL0)," & --  PAD62
	"  68 (BC_1, IO_C10, input, X)," & --  PAD62
	"  69 (BC_1, *, controlr, 1)," &
	"  70 (BC_1, IO_B9, output3, X, 69, 1, PULL0)," & --  PAD61
	"  71 (BC_1, IO_B9, input, X)," & --  PAD61
	"  72 (BC_1, *, internal, 1)," & -- PAD60.T
	"  73 (BC_1, *, internal, X)," & -- PAD60.O
	"  74 (BC_1, *, internal, X)," & -- PAD60.I
	"  75 (BC_1, *, controlr, 1)," &
	"  76 (BC_1, IO_A9, output3, X, 75, 1, PULL0)," & --  PAD59
	"  77 (BC_1, IO_A9, input, X)," & --  PAD59
	"  78 (BC_1, *, controlr, 1)," &
	"  79 (BC_1, IO_D11, output3, X, 78, 1, PULL0)," & --  PAD58
	"  80 (BC_1, IO_D11, input, X)," & --  PAD58
	"  81 (BC_1, *, controlr, 1)," &
	"  82 (BC_1, IO_C11, output3, X, 81, 1, PULL0)," & --  PAD57
	"  83 (BC_1, IO_C11, input, X)," & --  PAD57
	"  84 (BC_1, *, controlr, 1)," &
	"  85 (BC_1, IO_B10, output3, X, 84, 1, PULL0)," & --  PAD56
	"  86 (BC_1, IO_B10, input, X)," & --  PAD56
	"  87 (BC_1, *, internal, 1)," & -- PAD55.T
	"  88 (BC_1, *, internal, X)," & -- PAD55.O
	"  89 (BC_1, *, internal, X)," & -- PAD55.I
	"  90 (BC_1, *, controlr, 1)," &
	"  91 (BC_1, IO_B11, output3, X, 90, 1, PULL0)," & --  PAD54
	"  92 (BC_1, IO_B11, input, X)," & --  PAD54
	"  93 (BC_1, *, controlr, 1)," &
	"  94 (BC_1, IO_A11, output3, X, 93, 1, PULL0)," & --  PAD53
	"  95 (BC_1, IO_A11, input, X)," & --  PAD53
	"  96 (BC_1, *, controlr, 1)," &
	"  97 (BC_1, IO_C12, output3, X, 96, 1, PULL0)," & --  PAD52
	"  98 (BC_1, IO_C12, input, X)," & --  PAD52
	"  99 (BC_1, *, controlr, 1)," &
	" 100 (BC_1, IO_B12, output3, X, 99, 1, PULL0)," & --  PAD51
	" 101 (BC_1, IO_B12, input, X)," & --  PAD51
	" 102 (BC_1, *, internal, X)," &
	" 103 (BC_1, *, internal, X)," &
	" 104 (BC_1, *, internal, X)," &
	" 105 (BC_1, *, internal, 1)," & -- PAD49.T
	" 106 (BC_1, *, internal, X)," & -- PAD49.O
	" 107 (BC_1, *, internal, X)," & -- PAD49.I
	" 108 (BC_1, *, controlr, 1)," &
	" 109 (BC_1, IO_A12, output3, X, 108, 1, PULL0)," & --  PAD48
	" 110 (BC_1, IO_A12, input, X)," & --  PAD48
	" 111 (BC_1, *, controlr, 1)," &
	" 112 (BC_1, IO_C13, output3, X, 111, 1, PULL0)," & --  PAD47
	" 113 (BC_1, IO_C13, input, X)," & --  PAD47
	" 114 (BC_1, *, controlr, 1)," &
	" 115 (BC_1, IO_B13, output3, X, 114, 1, PULL0)," & --  PAD46
	" 116 (BC_1, IO_B13, input, X)," & --  PAD46
	" 117 (BC_1, *, internal, X)," &
	" 118 (BC_1, *, internal, X)," &
	" 119 (BC_1, *, internal, X)," &
	" 120 (BC_1, *, internal, X)," &
	" 121 (BC_1, *, internal, X)," &
	" 122 (BC_1, *, internal, X)," &
	" 123 (BC_1, *, controlr, 1)," &
	" 124 (BC_1, IO_A13, output3, X, 123, 1, PULL0)," & --  PAD43
	" 125 (BC_1, IO_A13, input, X)," & --  PAD43
	" 126 (BC_1, GCK2_B14, input, X)," &
	" 127 (BC_1, GCK3_D14, input, X)," &
	" 128 (BC_1, *, controlr, 1)," &
	" 129 (BC_1, IO_A15, output3, X, 128, 1, PULL0)," & --  PAD42
	" 130 (BC_1, IO_A15, input, X)," & --  PAD42
	" 131 (BC_1, *, internal, X)," &
	" 132 (BC_1, *, internal, X)," &
	" 133 (BC_1, *, internal, X)," &
	" 134 (BC_1, *, internal, X)," &
	" 135 (BC_1, *, internal, X)," &
	" 136 (BC_1, *, internal, X)," &
	" 137 (BC_1, *, internal, X)," &
	" 138 (BC_1, *, internal, X)," &
	" 139 (BC_1, *, internal, X)," &
	" 140 (BC_1, *, controlr, 1)," &
	" 141 (BC_1, IO_B15, output3, X, 140, 1, PULL0)," & --  PAD38
	" 142 (BC_1, IO_B15, input, X)," & --  PAD38
	" 143 (BC_1, *, controlr, 1)," &
	" 144 (BC_1, IO_C15, output3, X, 143, 1, PULL0)," & --  PAD37
	" 145 (BC_1, IO_C15, input, X)," & --  PAD37
	" 146 (BC_1, *, internal, 1)," & -- PAD36.T
	" 147 (BC_1, *, internal, X)," & -- PAD36.O
	" 148 (BC_1, *, internal, X)," & -- PAD36.I
	" 149 (BC_1, *, internal, X)," &
	" 150 (BC_1, *, internal, X)," &
	" 151 (BC_1, *, internal, X)," &
	" 152 (BC_1, *, controlr, 1)," &
	" 153 (BC_1, IO_D15, output3, X, 152, 1, PULL0)," & --  PAD34
	" 154 (BC_1, IO_D15, input, X)," & --  PAD34
	" 155 (BC_1, *, controlr, 1)," &
	" 156 (BC_1, IO_A16, output3, X, 155, 1, PULL0)," & --  PAD33
	" 157 (BC_1, IO_A16, input, X)," & --  PAD33
	" 158 (BC_1, *, controlr, 1)," &
	" 159 (BC_1, IO_C16, output3, X, 158, 1, PULL0)," & --  PAD32
	" 160 (BC_1, IO_C16, input, X)," & --  PAD32
	" 161 (BC_1, *, controlr, 1)," &
	" 162 (BC_1, IO_B17, output3, X, 161, 1, PULL0)," & --  PAD31
	" 163 (BC_1, IO_B17, input, X)," & --  PAD31
	" 164 (BC_1, *, internal, 1)," & -- PAD30.T
	" 165 (BC_1, *, internal, X)," & -- PAD30.O
	" 166 (BC_1, *, internal, X)," & -- PAD30.I
	" 167 (BC_1, *, controlr, 1)," &
	" 168 (BC_1, IO_D16, output3, X, 167, 1, PULL0)," & --  PAD29
	" 169 (BC_1, IO_D16, input, X)," & --  PAD29
	" 170 (BC_1, *, controlr, 1)," &
	" 171 (BC_1, IO_A18, output3, X, 170, 1, PULL0)," & --  PAD28
	" 172 (BC_1, IO_A18, input, X)," & --  PAD28
	" 173 (BC_1, *, controlr, 1)," &
	" 174 (BC_1, IO_C17, output3, X, 173, 1, PULL0)," & --  PAD27
	" 175 (BC_1, IO_C17, input, X)," & --  PAD27
	" 176 (BC_1, *, controlr, 1)," &
	" 177 (BC_1, IO_B18, output3, X, 176, 1, PULL0)," & --  PAD26
	" 178 (BC_1, IO_B18, input, X)," & --  PAD26
	" 179 (BC_1, *, internal, 1)," & -- PAD25.T
	" 180 (BC_1, *, internal, X)," & -- PAD25.O
	" 181 (BC_1, *, internal, X)," & -- PAD25.I
	" 182 (BC_1, *, controlr, 1)," &
	" 183 (BC_1, IO_C18, output3, X, 182, 1, PULL0)," & --  PAD24
	" 184 (BC_1, IO_C18, input, X)," & --  PAD24
	" 185 (BC_1, *, controlr, 1)," &
	" 186 (BC_1, IO_D17, output3, X, 185, 1, PULL0)," & --  PAD23
	" 187 (BC_1, IO_D17, input, X)," & --  PAD23
	" 188 (BC_1, *, controlr, 1)," &
	" 189 (BC_1, IO_B19, output3, X, 188, 1, PULL0)," & --  PAD22
	" 190 (BC_1, IO_B19, input, X)," & --  PAD22
	" 191 (BC_1, *, controlr, 1)," &
	" 192 (BC_1, IO_C19, output3, X, 191, 1, PULL0)," & --  PAD21
	" 193 (BC_1, IO_C19, input, X)," & --  PAD21
	" 194 (BC_1, *, internal, X)," &
	" 195 (BC_1, *, internal, X)," &
	" 196 (BC_1, *, internal, X)," &
	" 197 (BC_1, *, controlr, 1)," &
	" 198 (BC_1, IO_D18, output3, X, 197, 1, PULL0)," & --  PAD19
	" 199 (BC_1, IO_D18, input, X)," & --  PAD19
	" 200 (BC_1, *, controlr, 1)," &
	" 201 (BC_1, IO_A21, output3, X, 200, 1, PULL0)," & --  PAD18
	" 202 (BC_1, IO_A21, input, X)," & --  PAD18
	" 203 (BC_1, *, controlr, 1)," &
	" 204 (BC_1, IO_B20, output3, X, 203, 1, PULL0)," & --  PAD17
	" 205 (BC_1, IO_B20, input, X)," & --  PAD17
	" 206 (BC_1, *, controlr, 1)," &
	" 207 (BC_1, IO_C20, output3, X, 206, 1, PULL0)," & --  PAD16
	" 208 (BC_1, IO_C20, input, X)," & --  PAD16
	" 209 (BC_1, *, controlr, 1)," &
	" 210 (BC_1, IO_B21, output3, X, 209, 1, PULL0)," & --  PAD15
	" 211 (BC_1, IO_B21, input, X)," & --  PAD15
	" 212 (BC_1, *, controlr, 1)," &
	" 213 (BC_1, IO_B22, output3, X, 212, 1, PULL0)," & --  PAD14
	" 214 (BC_1, IO_B22, input, X)," & --  PAD14
	" 215 (BC_1, *, controlr, 1)," &
	" 216 (BC_1, IO_C21, output3, X, 215, 1, PULL0)," & --  PAD13
	" 217 (BC_1, IO_C21, input, X)," & --  PAD13
	" 218 (BC_1, *, controlr, 1)," &
	" 219 (BC_1, IO_D20, output3, X, 218, 1, PULL0)," & --  PAD12
	" 220 (BC_1, IO_D20, input, X)," & --  PAD12
	" 221 (BC_1, *, controlr, 1)," &
	" 222 (BC_1, IO_A23, output3, X, 221, 1, PULL0)," & --  PAD11
	" 223 (BC_1, IO_A23, input, X)," & --  PAD11
	" 224 (BC_1, *, controlr, 1)," &
	" 225 (BC_1, IO_A24, output3, X, 224, 1, PULL0)," & --  PAD10
	" 226 (BC_1, IO_A24, input, X)," & --  PAD10
	" 227 (BC_1, *, internal, X)," &
	" 228 (BC_1, *, internal, X)," &
	" 229 (BC_1, *, internal, X)," &
	" 230 (BC_1, *, controlr, 1)," &
	" 231 (BC_1, IO_B23, output3, X, 230, 1, PULL0)," & --  PAD8
	" 232 (BC_1, IO_B23, input, X)," & --  PAD8
	" 233 (BC_1, *, controlr, 1)," &
	" 234 (BC_1, IO_D21, output3, X, 233, 1, PULL0)," & --  PAD7
	" 235 (BC_1, IO_D21, input, X)," & --  PAD7
	" 236 (BC_1, *, controlr, 1)," &
	" 237 (BC_1, IO_C22, output3, X, 236, 1, PULL0)," & --  PAD6
	" 238 (BC_1, IO_C22, input, X)," & --  PAD6
	" 239 (BC_1, *, controlr, 1)," &
	" 240 (BC_1, IO_B24, output3, X, 239, 1, PULL0)," & --  PAD5
	" 241 (BC_1, IO_B24, input, X)," & --  PAD5
	" 242 (BC_1, *, controlr, 1)," &
	" 243 (BC_1, IO_C23, output3, X, 242, 1, PULL0)," & --  PAD4
	" 244 (BC_1, IO_C23, input, X)," & --  PAD4
	" 245 (BC_1, *, internal, X)," &
	" 246 (BC_1, *, internal, X)," &
	" 247 (BC_1, *, internal, X)," &
	" 248 (BC_1, *, controlr, 1)," &
	" 249 (BC_1, IO_D22, output3, X, 248, 1, PULL0)," & --  PAD2
	" 250 (BC_1, IO_D22, input, X)," & --  PAD2
	" 251 (BC_1, *, internal, X)," &
	" 252 (BC_1, *, internal, X)," &
	" 253 (BC_1, *, internal, X)," &
	" 254 (BC_1, *, internal, X)," &
	" 255 (BC_1, *, internal, X)," &
	" 256 (BC_1, *, internal, X)," &
	" 257 (BC_1, *, controlr, 1)," &
	" 258 (BC_1, IO_C25, output3, X, 257, 1, PULL0)," & --  PAD335
	" 259 (BC_1, IO_C25, input, X)," & --  PAD335
	" 260 (BC_1, *, internal, X)," &
	" 261 (BC_1, *, internal, X)," &
	" 262 (BC_1, *, internal, X)," &
	" 263 (BC_1, *, controlr, 1)," &
	" 264 (BC_1, IO_D24, output3, X, 263, 1, PULL0)," & --  PAD333
	" 265 (BC_1, IO_D24, input, X)," & --  PAD333
	" 266 (BC_1, *, controlr, 1)," &
	" 267 (BC_1, IO_E23, output3, X, 266, 1, PULL0)," & --  PAD332
	" 268 (BC_1, IO_E23, input, X)," & --  PAD332
	" 269 (BC_1, *, controlr, 1)," &
	" 270 (BC_1, IO_C26, output3, X, 269, 1, PULL0)," & --  PAD331
	" 271 (BC_1, IO_C26, input, X)," & --  PAD331
	" 272 (BC_1, *, controlr, 1)," &
	" 273 (BC_1, IO_E24, output3, X, 272, 1, PULL0)," & --  PAD330
	" 274 (BC_1, IO_E24, input, X)," & --  PAD330
	" 275 (BC_1, *, controlr, 1)," &
	" 276 (BC_1, IO_D25, output3, X, 275, 1, PULL0)," & --  PAD329
	" 277 (BC_1, IO_D25, input, X)," & --  PAD329
	" 278 (BC_1, *, internal, X)," &
	" 279 (BC_1, *, internal, X)," &
	" 280 (BC_1, *, internal, X)," &
	" 281 (BC_1, *, controlr, 1)," &
	" 282 (BC_1, IO_F23, output3, X, 281, 1, PULL0)," & --  PAD327
	" 283 (BC_1, IO_F23, input, X)," & --  PAD327
	" 284 (BC_1, *, controlr, 1)," &
	" 285 (BC_1, IO_F24, output3, X, 284, 1, PULL0)," & --  PAD326
	" 286 (BC_1, IO_F24, input, X)," & --  PAD326
	" 287 (BC_1, *, controlr, 1)," &
	" 288 (BC_1, IO_E25, output3, X, 287, 1, PULL0)," & --  PAD325
	" 289 (BC_1, IO_E25, input, X)," & --  PAD325
	" 290 (BC_1, *, controlr, 1)," &
	" 291 (BC_1, IO_D26, output3, X, 290, 1, PULL0)," & --  PAD324
	" 292 (BC_1, IO_D26, input, X)," & --  PAD324
	" 293 (BC_1, *, controlr, 1)," &
	" 294 (BC_1, IO_G24, output3, X, 293, 1, PULL0)," & --  PAD323
	" 295 (BC_1, IO_G24, input, X)," & --  PAD323
	" 296 (BC_1, *, controlr, 1)," &
	" 297 (BC_1, IO_F25, output3, X, 296, 1, PULL0)," & --  PAD322
	" 298 (BC_1, IO_F25, input, X)," & --  PAD322
	" 299 (BC_1, *, controlr, 1)," &
	" 300 (BC_1, IO_F26, output3, X, 299, 1, PULL0)," & --  PAD321
	" 301 (BC_1, IO_F26, input, X)," & --  PAD321
	" 302 (BC_1, *, controlr, 1)," &
	" 303 (BC_1, IO_H23, output3, X, 302, 1, PULL0)," & --  PAD320
	" 304 (BC_1, IO_H23, input, X)," & --  PAD320
	" 305 (BC_1, *, controlr, 1)," &
	" 306 (BC_1, IO_H24, output3, X, 305, 1, PULL0)," & --  PAD319
	" 307 (BC_1, IO_H24, input, X)," & --  PAD319
	" 308 (BC_1, *, controlr, 1)," &
	" 309 (BC_1, IO_G25, output3, X, 308, 1, PULL0)," & --  PAD318
	" 310 (BC_1, IO_G25, input, X)," & --  PAD318
	" 311 (BC_1, *, internal, X)," &
	" 312 (BC_1, *, internal, X)," &
	" 313 (BC_1, *, internal, X)," &
	" 314 (BC_1, *, controlr, 1)," &
	" 315 (BC_1, IO_G26, output3, X, 314, 1, PULL0)," & --  PAD316
	" 316 (BC_1, IO_G26, input, X)," & --  PAD316
	" 317 (BC_1, *, controlr, 1)," &
	" 318 (BC_1, IO_J23, output3, X, 317, 1, PULL0)," & --  PAD315
	" 319 (BC_1, IO_J23, input, X)," & --  PAD315
	" 320 (BC_1, *, controlr, 1)," &
	" 321 (BC_1, IO_H25, output3, X, 320, 1, PULL0)," & --  PAD314
	" 322 (BC_1, IO_H25, input, X)," & --  PAD314
	" 323 (BC_1, *, controlr, 1)," &
	" 324 (BC_1, IO_K23, output3, X, 323, 1, PULL0)," & --  PAD313
	" 325 (BC_1, IO_K23, input, X)," & --  PAD313
	" 326 (BC_1, *, internal, 1)," & -- PAD312.T
	" 327 (BC_1, *, internal, X)," & -- PAD312.O
	" 328 (BC_1, *, internal, X)," & -- PAD312.I
	" 329 (BC_1, *, controlr, 1)," &
	" 330 (BC_1, IO_K24, output3, X, 329, 1, PULL0)," & --  PAD311
	" 331 (BC_1, IO_K24, input, X)," & --  PAD311
	" 332 (BC_1, *, controlr, 1)," &
	" 333 (BC_1, IO_J25, output3, X, 332, 1, PULL0)," & --  PAD310
	" 334 (BC_1, IO_J25, input, X)," & --  PAD310
	" 335 (BC_1, *, controlr, 1)," &
	" 336 (BC_1, IO_J26, output3, X, 335, 1, PULL0)," & --  PAD309
	" 337 (BC_1, IO_J26, input, X)," & --  PAD309
	" 338 (BC_1, *, controlr, 1)," &
	" 339 (BC_1, IO_L23, output3, X, 338, 1, PULL0)," & --  PAD308
	" 340 (BC_1, IO_L23, input, X)," & --  PAD308
	" 341 (BC_1, *, internal, 1)," & -- PAD307.T
	" 342 (BC_1, *, internal, X)," & -- PAD307.O
	" 343 (BC_1, *, internal, X)," & -- PAD307.I
	" 344 (BC_1, *, controlr, 1)," &
	" 345 (BC_1, IO_L24, output3, X, 344, 1, PULL0)," & --  PAD306
	" 346 (BC_1, IO_L24, input, X)," & --  PAD306
	" 347 (BC_1, *, controlr, 1)," &
	" 348 (BC_1, IO_K25, output3, X, 347, 1, PULL0)," & --  PAD305
	" 349 (BC_1, IO_K25, input, X)," & --  PAD305
	" 350 (BC_1, *, controlr, 1)," &
	" 351 (BC_1, IO_L26, output3, X, 350, 1, PULL0)," & --  PAD304
	" 352 (BC_1, IO_L26, input, X)," & --  PAD304
	" 353 (BC_1, *, controlr, 1)," &
	" 354 (BC_1, IO_M23, output3, X, 353, 1, PULL0)," & --  PAD303
	" 355 (BC_1, IO_M23, input, X)," & --  PAD303
	" 356 (BC_1, *, internal, X)," &
	" 357 (BC_1, *, internal, X)," &
	" 358 (BC_1, *, internal, X)," &
	" 359 (BC_1, *, internal, 1)," & -- PAD301.T
	" 360 (BC_1, *, internal, X)," & -- PAD301.O
	" 361 (BC_1, *, internal, X)," & -- PAD301.I
	" 362 (BC_1, *, controlr, 1)," &
	" 363 (BC_1, IO_M24, output3, X, 362, 1, PULL0)," & --  PAD300
	" 364 (BC_1, IO_M24, input, X)," & --  PAD300
	" 365 (BC_1, *, controlr, 1)," &
	" 366 (BC_1, IO_M25, output3, X, 365, 1, PULL0)," & --  PAD299
	" 367 (BC_1, IO_M25, input, X)," & --  PAD299
	" 368 (BC_1, *, controlr, 1)," &
	" 369 (BC_1, IO_M26, output3, X, 368, 1, PULL0)," & --  PAD298
	" 370 (BC_1, IO_M26, input, X)," & --  PAD298
	" 371 (BC_1, *, internal, X)," &
	" 372 (BC_1, *, internal, X)," &
	" 373 (BC_1, *, internal, X)," &
	" 374 (BC_1, *, controlr, 1)," &
	" 375 (BC_1, IO_N24, output3, X, 374, 1, PULL0)," & --  PAD296
	" 376 (BC_1, IO_N24, input, X)," & --  PAD296
	" 377 (BC_1, *, controlr, 1)," &
	" 378 (BC_1, IO_N25, output3, X, 377, 1, PULL0)," & --  PAD295
	" 379 (BC_1, IO_N25, input, X)," & --  PAD295
	" 380 (BC_1, *, controlr, 1)," &
	" 381 (BC_1, IO_N26, output3, X, 380, 1, PULL0)," & --  PAD294
	" 382 (BC_1, IO_N26, input, X)," & --  PAD294
	" 383 (BC_1, *, internal, X)," &
	" 384 (BC_1, *, internal, X)," &
	" 385 (BC_1, *, internal, X)," &
	" 386 (BC_1, *, internal, X)," &
	" 387 (BC_1, *, internal, X)," &
	" 388 (BC_1, *, internal, X)," &
	" 389 (BC_1, *, controlr, 1)," &
	" 390 (BC_1, IO_P23, output3, X, 389, 1, PULL0)," & --  PAD291
	" 391 (BC_1, IO_P23, input, X)," & --  PAD291
	" 392 (BC_1, *, controlr, 1)," &
	" 393 (BC_1, IO_P24, output3, X, 392, 1, PULL0)," & --  PAD290
	" 394 (BC_1, IO_P24, input, X)," & --  PAD290
	" 395 (BC_1, *, controlr, 1)," &
	" 396 (BC_1, IO_R26, output3, X, 395, 1, PULL0)," & --  PAD289
	" 397 (BC_1, IO_R26, input, X)," & --  PAD289
	" 398 (BC_1, *, internal, 1)," & -- PAD288.T
	" 399 (BC_1, *, internal, X)," & -- PAD288.O
	" 400 (BC_1, *, internal, X)," & -- PAD288.I
	" 401 (BC_1, *, internal, X)," &
	" 402 (BC_1, *, internal, X)," &
	" 403 (BC_1, *, internal, X)," &
	" 404 (BC_1, *, controlr, 1)," &
	" 405 (BC_1, IO_R25, output3, X, 404, 1, PULL0)," & --  PAD286
	" 406 (BC_1, IO_R25, input, X)," & --  PAD286
	" 407 (BC_1, *, controlr, 1)," &
	" 408 (BC_1, IO_R24, output3, X, 407, 1, PULL0)," & --  PAD285
	" 409 (BC_1, IO_R24, input, X)," & --  PAD285
	" 410 (BC_1, *, controlr, 1)," &
	" 411 (BC_1, IO_T26, output3, X, 410, 1, PULL0)," & --  PAD284
	" 412 (BC_1, IO_T26, input, X)," & --  PAD284
	" 413 (BC_1, *, controlr, 1)," &
	" 414 (BC_1, IO_T25, output3, X, 413, 1, PULL0)," & --  PAD283
	" 415 (BC_1, IO_T25, input, X)," & --  PAD283
	" 416 (BC_1, *, internal, 1)," & -- PAD282.T
	" 417 (BC_1, *, internal, X)," & -- PAD282.O
	" 418 (BC_1, *, internal, X)," & -- PAD282.I
	" 419 (BC_1, *, controlr, 1)," &
	" 420 (BC_1, IO_T24, output3, X, 419, 1, PULL0)," & --  PAD281
	" 421 (BC_1, IO_T24, input, X)," & --  PAD281
	" 422 (BC_1, *, controlr, 1)," &
	" 423 (BC_1, IO_U25, output3, X, 422, 1, PULL0)," & --  PAD280
	" 424 (BC_1, IO_U25, input, X)," & --  PAD280
	" 425 (BC_1, *, controlr, 1)," &
	" 426 (BC_1, IO_T23, output3, X, 425, 1, PULL0)," & --  PAD279
	" 427 (BC_1, IO_T23, input, X)," & --  PAD279
	" 428 (BC_1, *, controlr, 1)," &
	" 429 (BC_1, IO_V26, output3, X, 428, 1, PULL0)," & --  PAD278
	" 430 (BC_1, IO_V26, input, X)," & --  PAD278
	" 431 (BC_1, *, internal, 1)," & -- PAD277.T
	" 432 (BC_1, *, internal, X)," & -- PAD277.O
	" 433 (BC_1, *, internal, X)," & -- PAD277.I
	" 434 (BC_1, *, controlr, 1)," &
	" 435 (BC_1, IO_U24, output3, X, 434, 1, PULL0)," & --  PAD276
	" 436 (BC_1, IO_U24, input, X)," & --  PAD276
	" 437 (BC_1, *, controlr, 1)," &
	" 438 (BC_1, IO_V25, output3, X, 437, 1, PULL0)," & --  PAD275
	" 439 (BC_1, IO_V25, input, X)," & --  PAD275
	" 440 (BC_1, *, controlr, 1)," &
	" 441 (BC_1, IO_U23, output3, X, 440, 1, PULL0)," & --  PAD274
	" 442 (BC_1, IO_U23, input, X)," & --  PAD274
	" 443 (BC_1, *, controlr, 1)," &
	" 444 (BC_1, IO_Y26, output3, X, 443, 1, PULL0)," & --  PAD273
	" 445 (BC_1, IO_Y26, input, X)," & --  PAD273
	" 446 (BC_1, *, internal, X)," &
	" 447 (BC_1, *, internal, X)," &
	" 448 (BC_1, *, internal, X)," &
	" 449 (BC_1, *, controlr, 1)," &
	" 450 (BC_1, IO_W25, output3, X, 449, 1, PULL0)," & --  PAD271
	" 451 (BC_1, IO_W25, input, X)," & --  PAD271
	" 452 (BC_1, *, controlr, 1)," &
	" 453 (BC_1, IO_W24, output3, X, 452, 1, PULL0)," & --  PAD270
	" 454 (BC_1, IO_W24, input, X)," & --  PAD270
	" 455 (BC_1, *, controlr, 1)," &
	" 456 (BC_1, IO_V23, output3, X, 455, 1, PULL0)," & --  PAD269
	" 457 (BC_1, IO_V23, input, X)," & --  PAD269
	" 458 (BC_1, *, controlr, 1)," &
	" 459 (BC_1, IO_AA26, output3, X, 458, 1, PULL0)," & --  PAD268
	" 460 (BC_1, IO_AA26, input, X)," & --  PAD268
	" 461 (BC_1, *, controlr, 1)," &
	" 462 (BC_1, IO_Y25, output3, X, 461, 1, PULL0)," & --  PAD267
	" 463 (BC_1, IO_Y25, input, X)," & --  PAD267
	" 464 (BC_1, *, controlr, 1)," &
	" 465 (BC_1, IO_Y24, output3, X, 464, 1, PULL0)," & --  PAD266
	" 466 (BC_1, IO_Y24, input, X)," & --  PAD266
	" 467 (BC_1, *, controlr, 1)," &
	" 468 (BC_1, IO_AA25, output3, X, 467, 1, PULL0)," & --  PAD265
	" 469 (BC_1, IO_AA25, input, X)," & --  PAD265
	" 470 (BC_1, *, controlr, 1)," &
	" 471 (BC_1, IO_AB25, output3, X, 470, 1, PULL0)," & --  PAD264
	" 472 (BC_1, IO_AB25, input, X)," & --  PAD264
	" 473 (BC_1, *, controlr, 1)," &
	" 474 (BC_1, IO_AA24, output3, X, 473, 1, PULL0)," & --  PAD263
	" 475 (BC_1, IO_AA24, input, X)," & --  PAD263
	" 476 (BC_1, *, controlr, 1)," &
	" 477 (BC_1, IO_Y23, output3, X, 476, 1, PULL0)," & --  PAD262
	" 478 (BC_1, IO_Y23, input, X)," & --  PAD262
	" 479 (BC_1, *, internal, X)," &
	" 480 (BC_1, *, internal, X)," &
	" 481 (BC_1, *, internal, X)," &
	" 482 (BC_1, *, controlr, 1)," &
	" 483 (BC_1, IO_AC26, output3, X, 482, 1, PULL0)," & --  PAD260
	" 484 (BC_1, IO_AC26, input, X)," & --  PAD260
	" 485 (BC_1, *, controlr, 1)," &
	" 486 (BC_1, IO_AD26, output3, X, 485, 1, PULL0)," & --  PAD259
	" 487 (BC_1, IO_AD26, input, X)," & --  PAD259
	" 488 (BC_1, *, controlr, 1)," &
	" 489 (BC_1, IO_AC25, output3, X, 488, 1, PULL0)," & --  PAD258
	" 490 (BC_1, IO_AC25, input, X)," & --  PAD258
	" 491 (BC_1, *, controlr, 1)," &
	" 492 (BC_1, IO_AA23, output3, X, 491, 1, PULL0)," & --  PAD257
	" 493 (BC_1, IO_AA23, input, X)," & --  PAD257
	" 494 (BC_1, *, controlr, 1)," &
	" 495 (BC_1, IO_AB24, output3, X, 494, 1, PULL0)," & --  PAD256
	" 496 (BC_1, IO_AB24, input, X)," & --  PAD256
	" 497 (BC_1, *, internal, X)," &
	" 498 (BC_1, *, internal, X)," &
	" 499 (BC_1, *, internal, X)," &
	" 500 (BC_1, *, controlr, 1)," &
	" 501 (BC_1, IO_AD25, output3, X, 500, 1, PULL0)," & --  PAD254
	" 502 (BC_1, IO_AD25, input, X)," & --  PAD254
	" 503 (BC_1, *, controlr, 1)," &
	" 504 (BC_1, IO_AC24, output3, X, 503, 1, PULL0)," & --  PAD253
	" 505 (BC_1, IO_AC24, input, X)," & --  PAD253
	" 506 (BC_1, M1_AB23, input, X)," &
	" 507 (BC_1, M0_AD24, input, X)," &
	" 508 (BC_1, M2_AC23, input, X)," &
	" 509 (BC_1, *, internal, 1)," & -- PAD252.T
	" 510 (BC_1, *, internal, X)," & -- PAD252.O
	" 511 (BC_1, *, internal, X)," & -- PAD252.I
	" 512 (BC_1, *, internal, 1)," & -- PAD251.T
	" 513 (BC_1, *, internal, X)," & -- PAD251.O
	" 514 (BC_1, *, internal, X)," & -- PAD251.I
	" 515 (BC_1, *, internal, X)," &
	" 516 (BC_1, *, internal, X)," &
	" 517 (BC_1, *, internal, X)," &
	" 518 (BC_1, *, controlr, 1)," &
	" 519 (BC_1, IO_AC22, output3, X, 518, 1, PULL0)," & --  PAD249
	" 520 (BC_1, IO_AC22, input, X)," & --  PAD249
	" 521 (BC_1, *, controlr, 1)," &
	" 522 (BC_1, IO_AF24, output3, X, 521, 1, PULL0)," & --  PAD248
	" 523 (BC_1, IO_AF24, input, X)," & --  PAD248
	" 524 (BC_1, *, controlr, 1)," &
	" 525 (BC_1, IO_AD22, output3, X, 524, 1, PULL0)," & --  PAD247
	" 526 (BC_1, IO_AD22, input, X)," & --  PAD247
	" 527 (BC_1, *, controlr, 1)," &
	" 528 (BC_1, IO_AE23, output3, X, 527, 1, PULL0)," & --  PAD246
	" 529 (BC_1, IO_AE23, input, X)," & --  PAD246
	" 530 (BC_1, *, controlr, 1)," &
	" 531 (BC_1, IO_AC21, output3, X, 530, 1, PULL0)," & --  PAD245
	" 532 (BC_1, IO_AC21, input, X)," & --  PAD245
	" 533 (BC_1, *, internal, X)," &
	" 534 (BC_1, *, internal, X)," &
	" 535 (BC_1, *, internal, X)," &
	" 536 (BC_1, *, controlr, 1)," &
	" 537 (BC_1, IO_AD21, output3, X, 536, 1, PULL0)," & --  PAD243
	" 538 (BC_1, IO_AD21, input, X)," & --  PAD243
	" 539 (BC_1, *, controlr, 1)," &
	" 540 (BC_1, IO_AE22, output3, X, 539, 1, PULL0)," & --  PAD242
	" 541 (BC_1, IO_AE22, input, X)," & --  PAD242
	" 542 (BC_1, *, controlr, 1)," &
	" 543 (BC_1, IO_AF23, output3, X, 542, 1, PULL0)," & --  PAD241
	" 544 (BC_1, IO_AF23, input, X)," & --  PAD241
	" 545 (BC_1, *, controlr, 1)," &
	" 546 (BC_1, IO_AD20, output3, X, 545, 1, PULL0)," & --  PAD240
	" 547 (BC_1, IO_AD20, input, X)," & --  PAD240
	" 548 (BC_1, *, controlr, 1)," &
	" 549 (BC_1, IO_AE21, output3, X, 548, 1, PULL0)," & --  PAD239
	" 550 (BC_1, IO_AE21, input, X)," & --  PAD239
	" 551 (BC_1, *, controlr, 1)," &
	" 552 (BC_1, IO_AF21, output3, X, 551, 1, PULL0)," & --  PAD238
	" 553 (BC_1, IO_AF21, input, X)," & --  PAD238
	" 554 (BC_1, *, controlr, 1)," &
	" 555 (BC_1, IO_AC19, output3, X, 554, 1, PULL0)," & --  PAD237
	" 556 (BC_1, IO_AC19, input, X)," & --  PAD237
	" 557 (BC_1, *, controlr, 1)," &
	" 558 (BC_1, IO_AD19, output3, X, 557, 1, PULL0)," & --  PAD236
	" 559 (BC_1, IO_AD19, input, X)," & --  PAD236
	" 560 (BC_1, *, controlr, 1)," &
	" 561 (BC_1, IO_AE20, output3, X, 560, 1, PULL0)," & --  PAD235
	" 562 (BC_1, IO_AE20, input, X)," & --  PAD235
	" 563 (BC_1, *, controlr, 1)," &
	" 564 (BC_1, IO_AF20, output3, X, 563, 1, PULL0)," & --  PAD234
	" 565 (BC_1, IO_AF20, input, X)," & --  PAD234
	" 566 (BC_1, *, internal, X)," &
	" 567 (BC_1, *, internal, X)," &
	" 568 (BC_1, *, internal, X)," &
	" 569 (BC_1, *, controlr, 1)," &
	" 570 (BC_1, IO_AC18, output3, X, 569, 1, PULL0)," & --  PAD232
	" 571 (BC_1, IO_AC18, input, X)," & --  PAD232
	" 572 (BC_1, *, controlr, 1)," &
	" 573 (BC_1, IO_AD18, output3, X, 572, 1, PULL0)," & --  PAD231
	" 574 (BC_1, IO_AD18, input, X)," & --  PAD231
	" 575 (BC_1, *, controlr, 1)," &
	" 576 (BC_1, IO_AC17, output3, X, 575, 1, PULL0)," & --  PAD230
	" 577 (BC_1, IO_AC17, input, X)," & --  PAD230
	" 578 (BC_1, *, controlr, 1)," &
	" 579 (BC_1, IO_AD17, output3, X, 578, 1, PULL0)," & --  PAD229
	" 580 (BC_1, IO_AD17, input, X)," & --  PAD229
	" 581 (BC_1, *, internal, 1)," & -- PAD228.T
	" 582 (BC_1, *, internal, X)," & -- PAD228.O
	" 583 (BC_1, *, internal, X)," & -- PAD228.I
	" 584 (BC_1, *, controlr, 1)," &
	" 585 (BC_1, IO_AE18, output3, X, 584, 1, PULL0)," & --  PAD227
	" 586 (BC_1, IO_AE18, input, X)," & --  PAD227
	" 587 (BC_1, *, controlr, 1)," &
	" 588 (BC_1, IO_AF18, output3, X, 587, 1, PULL0)," & --  PAD226
	" 589 (BC_1, IO_AF18, input, X)," & --  PAD226
	" 590 (BC_1, *, controlr, 1)," &
	" 591 (BC_1, IO_AC16, output3, X, 590, 1, PULL0)," & --  PAD225
	" 592 (BC_1, IO_AC16, input, X)," & --  PAD225
	" 593 (BC_1, *, controlr, 1)," &
	" 594 (BC_1, IO_AD16, output3, X, 593, 1, PULL0)," & --  PAD224
	" 595 (BC_1, IO_AD16, input, X)," & --  PAD224
	" 596 (BC_1, *, internal, 1)," & -- PAD223.T
	" 597 (BC_1, *, internal, X)," & -- PAD223.O
	" 598 (BC_1, *, internal, X)," & -- PAD223.I
	" 599 (BC_1, *, controlr, 1)," &
	" 600 (BC_1, IO_AE17, output3, X, 599, 1, PULL0)," & --  PAD222
	" 601 (BC_1, IO_AE17, input, X)," & --  PAD222
	" 602 (BC_1, *, controlr, 1)," &
	" 603 (BC_1, IO_AE16, output3, X, 602, 1, PULL0)," & --  PAD221
	" 604 (BC_1, IO_AE16, input, X)," & --  PAD221
	" 605 (BC_1, *, controlr, 1)," &
	" 606 (BC_1, IO_AC15, output3, X, 605, 1, PULL0)," & --  PAD220
	" 607 (BC_1, IO_AC15, input, X)," & --  PAD220
	" 608 (BC_1, *, controlr, 1)," &
	" 609 (BC_1, IO_AD15, output3, X, 608, 1, PULL0)," & --  PAD219
	" 610 (BC_1, IO_AD15, input, X)," & --  PAD219
	" 611 (BC_1, *, internal, X)," &
	" 612 (BC_1, *, internal, X)," &
	" 613 (BC_1, *, internal, X)," &
	" 614 (BC_1, *, internal, 1)," & -- PAD217.T
	" 615 (BC_1, *, internal, X)," & -- PAD217.O
	" 616 (BC_1, *, internal, X)," & -- PAD217.I
	" 617 (BC_1, *, controlr, 1)," &
	" 618 (BC_1, IO_AE15, output3, X, 617, 1, PULL0)," & --  PAD216
	" 619 (BC_1, IO_AE15, input, X)," & --  PAD216
	" 620 (BC_1, *, controlr, 1)," &
	" 621 (BC_1, IO_AF15, output3, X, 620, 1, PULL0)," & --  PAD215
	" 622 (BC_1, IO_AF15, input, X)," & --  PAD215
	" 623 (BC_1, *, internal, X)," &
	" 624 (BC_1, *, internal, X)," &
	" 625 (BC_1, *, internal, X)," &
	" 626 (BC_1, *, internal, X)," &
	" 627 (BC_1, *, internal, X)," &
	" 628 (BC_1, *, internal, X)," &
	" 629 (BC_1, *, internal, X)," &
	" 630 (BC_1, *, internal, X)," &
	" 631 (BC_1, *, internal, X)," &
	" 632 (BC_1, *, controlr, 1)," &
	" 633 (BC_1, IO_AD14, output3, X, 632, 1, PULL0)," & --  PAD211
	" 634 (BC_1, IO_AD14, input, X)," & --  PAD211
	" 635 (BC_1, GCK1_AF14, input, X)," &
	" 636 (BC_1, GCK0_AE13, input, X)," &
	" 637 (BC_1, *, controlr, 1)," &
	" 638 (BC_1, IO_AC13, output3, X, 637, 1, PULL0)," & --  PAD210
	" 639 (BC_1, IO_AC13, input, X)," & --  PAD210
	" 640 (BC_1, *, internal, X)," &
	" 641 (BC_1, *, internal, X)," &
	" 642 (BC_1, *, internal, X)," &
	" 643 (BC_1, *, internal, X)," &
	" 644 (BC_1, *, internal, X)," &
	" 645 (BC_1, *, internal, X)," &
	" 646 (BC_1, *, controlr, 1)," &
	" 647 (BC_1, IO_AD13, output3, X, 646, 1, PULL0)," & --  PAD207
	" 648 (BC_1, IO_AD13, input, X)," & --  PAD207
	" 649 (BC_1, *, controlr, 1)," &
	" 650 (BC_1, IO_AF12, output3, X, 649, 1, PULL0)," & --  PAD206
	" 651 (BC_1, IO_AF12, input, X)," & --  PAD206
	" 652 (BC_1, *, controlr, 1)," &
	" 653 (BC_1, IO_AE12, output3, X, 652, 1, PULL0)," & --  PAD205
	" 654 (BC_1, IO_AE12, input, X)," & --  PAD205
	" 655 (BC_1, *, internal, 1)," & -- PAD204.T
	" 656 (BC_1, *, internal, X)," & -- PAD204.O
	" 657 (BC_1, *, internal, X)," & -- PAD204.I
	" 658 (BC_1, *, internal, X)," &
	" 659 (BC_1, *, internal, X)," &
	" 660 (BC_1, *, internal, X)," &
	" 661 (BC_1, *, controlr, 1)," &
	" 662 (BC_1, IO_AD12, output3, X, 661, 1, PULL0)," & --  PAD202
	" 663 (BC_1, IO_AD12, input, X)," & --  PAD202
	" 664 (BC_1, *, controlr, 1)," &
	" 665 (BC_1, IO_AC12, output3, X, 664, 1, PULL0)," & --  PAD201
	" 666 (BC_1, IO_AC12, input, X)," & --  PAD201
	" 667 (BC_1, *, controlr, 1)," &
	" 668 (BC_1, IO_AE11, output3, X, 667, 1, PULL0)," & --  PAD200
	" 669 (BC_1, IO_AE11, input, X)," & --  PAD200
	" 670 (BC_1, *, controlr, 1)," &
	" 671 (BC_1, IO_AD11, output3, X, 670, 1, PULL0)," & --  PAD199
	" 672 (BC_1, IO_AD11, input, X)," & --  PAD199
	" 673 (BC_1, *, internal, 1)," & -- PAD198.T
	" 674 (BC_1, *, internal, X)," & -- PAD198.O
	" 675 (BC_1, *, internal, X)," & -- PAD198.I
	" 676 (BC_1, *, controlr, 1)," &
	" 677 (BC_1, IO_AE10, output3, X, 676, 1, PULL0)," & --  PAD197
	" 678 (BC_1, IO_AE10, input, X)," & --  PAD197
	" 679 (BC_1, *, controlr, 1)," &
	" 680 (BC_1, IO_AC11, output3, X, 679, 1, PULL0)," & --  PAD196
	" 681 (BC_1, IO_AC11, input, X)," & --  PAD196
	" 682 (BC_1, *, controlr, 1)," &
	" 683 (BC_1, IO_AF9, output3, X, 682, 1, PULL0)," & --  PAD195
	" 684 (BC_1, IO_AF9, input, X)," & --  PAD195
	" 685 (BC_1, *, controlr, 1)," &
	" 686 (BC_1, IO_AD10, output3, X, 685, 1, PULL0)," & --  PAD194
	" 687 (BC_1, IO_AD10, input, X)," & --  PAD194
	" 688 (BC_1, *, internal, 1)," & -- PAD193.T
	" 689 (BC_1, *, internal, X)," & -- PAD193.O
	" 690 (BC_1, *, internal, X)," & -- PAD193.I
	" 691 (BC_1, *, controlr, 1)," &
	" 692 (BC_1, IO_AE9, output3, X, 691, 1, PULL0)," & --  PAD192
	" 693 (BC_1, IO_AE9, input, X)," & --  PAD192
	" 694 (BC_1, *, controlr, 1)," &
	" 695 (BC_1, IO_AD9, output3, X, 694, 1, PULL0)," & --  PAD191
	" 696 (BC_1, IO_AD9, input, X)," & --  PAD191
	" 697 (BC_1, *, controlr, 1)," &
	" 698 (BC_1, IO_AF7, output3, X, 697, 1, PULL0)," & --  PAD190
	" 699 (BC_1, IO_AF7, input, X)," & --  PAD190
	" 700 (BC_1, *, controlr, 1)," &
	" 701 (BC_1, IO_AE8, output3, X, 700, 1, PULL0)," & --  PAD189
	" 702 (BC_1, IO_AE8, input, X)," & --  PAD189
	" 703 (BC_1, *, internal, X)," &
	" 704 (BC_1, *, internal, X)," &
	" 705 (BC_1, *, internal, X)," &
	" 706 (BC_1, *, controlr, 1)," &
	" 707 (BC_1, IO_AD8, output3, X, 706, 1, PULL0)," & --  PAD187
	" 708 (BC_1, IO_AD8, input, X)," & --  PAD187
	" 709 (BC_1, *, controlr, 1)," &
	" 710 (BC_1, IO_AC9, output3, X, 709, 1, PULL0)," & --  PAD186
	" 711 (BC_1, IO_AC9, input, X)," & --  PAD186
	" 712 (BC_1, *, controlr, 1)," &
	" 713 (BC_1, IO_AF6, output3, X, 712, 1, PULL0)," & --  PAD185
	" 714 (BC_1, IO_AF6, input, X)," & --  PAD185
	" 715 (BC_1, *, controlr, 1)," &
	" 716 (BC_1, IO_AE7, output3, X, 715, 1, PULL0)," & --  PAD184
	" 717 (BC_1, IO_AE7, input, X)," & --  PAD184
	" 718 (BC_1, *, controlr, 1)," &
	" 719 (BC_1, IO_AD7, output3, X, 718, 1, PULL0)," & --  PAD183
	" 720 (BC_1, IO_AD7, input, X)," & --  PAD183
	" 721 (BC_1, *, controlr, 1)," &
	" 722 (BC_1, IO_AE6, output3, X, 721, 1, PULL0)," & --  PAD182
	" 723 (BC_1, IO_AE6, input, X)," & --  PAD182
	" 724 (BC_1, *, controlr, 1)," &
	" 725 (BC_1, IO_AE5, output3, X, 724, 1, PULL0)," & --  PAD181
	" 726 (BC_1, IO_AE5, input, X)," & --  PAD181
	" 727 (BC_1, *, controlr, 1)," &
	" 728 (BC_1, IO_AD6, output3, X, 727, 1, PULL0)," & --  PAD180
	" 729 (BC_1, IO_AD6, input, X)," & --  PAD180
	" 730 (BC_1, *, controlr, 1)," &
	" 731 (BC_1, IO_AC7, output3, X, 730, 1, PULL0)," & --  PAD179
	" 732 (BC_1, IO_AC7, input, X)," & --  PAD179
	" 733 (BC_1, *, controlr, 1)," &
	" 734 (BC_1, IO_AF4, output3, X, 733, 1, PULL0)," & --  PAD178
	" 735 (BC_1, IO_AF4, input, X)," & --  PAD178
	" 736 (BC_1, *, internal, X)," &
	" 737 (BC_1, *, internal, X)," &
	" 738 (BC_1, *, internal, X)," &
	" 739 (BC_1, *, controlr, 1)," &
	" 740 (BC_1, IO_AF3, output3, X, 739, 1, PULL0)," & --  PAD176
	" 741 (BC_1, IO_AF3, input, X)," & --  PAD176
	" 742 (BC_1, *, controlr, 1)," &
	" 743 (BC_1, IO_AE4, output3, X, 742, 1, PULL0)," & --  PAD175
	" 744 (BC_1, IO_AE4, input, X)," & --  PAD175
	" 745 (BC_1, *, controlr, 1)," &
	" 746 (BC_1, IO_AC6, output3, X, 745, 1, PULL0)," & --  PAD174
	" 747 (BC_1, IO_AC6, input, X)," & --  PAD174
	" 748 (BC_1, *, controlr, 1)," &
	" 749 (BC_1, IO_AD5, output3, X, 748, 1, PULL0)," & --  PAD173
	" 750 (BC_1, IO_AD5, input, X)," & --  PAD173
	" 751 (BC_1, *, controlr, 1)," &
	" 752 (BC_1, IO_AE3, output3, X, 751, 1, PULL0)," & --  PAD172
	" 753 (BC_1, IO_AE3, input, X)," & --  PAD172
	" 754 (BC_1, *, internal, X)," &
	" 755 (BC_1, *, internal, X)," &
	" 756 (BC_1, *, internal, X)," &
	" 757 (BC_1, *, controlr, 1)," &
	" 758 (BC_1, IO_AD4, output3, X, 757, 1, PULL0)," & --  PAD170
	" 759 (BC_1, IO_AD4, input, X)," & --  PAD170
	" 760 (BC_1, *, controlr, 1)," &
	" 761 (BC_1, IO_AC5, output3, X, 760, 1, PULL0)," & --  PAD169
	" 762 (BC_1, IO_AC5, input, X)," & --  PAD169
	" 763 (BC_1, *, controlr, 1)," &
	" 764 (BC_1, DONE_AD3, output3, X, 763, 1, PULL1)," &
	" 765 (BC_1, DONE_AD3, input, X)," &
	" 766 (BC_1, *, internal, 1)," & -- PROGRAM_B.I
	" 767 (BC_1, *, controlr, 1)," &
	" 768 (BC_1, INIT_AD2, output3, X, 767, 1, PULL1)," & --  PAD168
	" 769 (BC_1, INIT_AD2, input, X)," & --  PAD168
	" 770 (BC_1, *, controlr, 1)," &
	" 771 (BC_1, IO_AC3, output3, X, 770, 1, PULL0)," & --  PAD167
	" 772 (BC_1, IO_AC3, input, X)," & --  PAD167
	" 773 (BC_1, *, internal, X)," &
	" 774 (BC_1, *, internal, X)," &
	" 775 (BC_1, *, internal, X)," &
	" 776 (BC_1, *, controlr, 1)," &
	" 777 (BC_1, IO_AB4, output3, X, 776, 1, PULL0)," & --  PAD165
	" 778 (BC_1, IO_AB4, input, X)," & --  PAD165
	" 779 (BC_1, *, controlr, 1)," &
	" 780 (BC_1, IO_AD1, output3, X, 779, 1, PULL0)," & --  PAD164
	" 781 (BC_1, IO_AD1, input, X)," & --  PAD164
	" 782 (BC_1, *, controlr, 1)," &
	" 783 (BC_1, IO_AB3, output3, X, 782, 1, PULL0)," & --  PAD163
	" 784 (BC_1, IO_AB3, input, X)," & --  PAD163
	" 785 (BC_1, *, controlr, 1)," &
	" 786 (BC_1, IO_AC2, output3, X, 785, 1, PULL0)," & --  PAD162
	" 787 (BC_1, IO_AC2, input, X)," & --  PAD162
	" 788 (BC_1, *, controlr, 1)," &
	" 789 (BC_1, IO_AA4, output3, X, 788, 1, PULL0)," & --  PAD161
	" 790 (BC_1, IO_AA4, input, X)," & --  PAD161
	" 791 (BC_1, *, internal, X)," &
	" 792 (BC_1, *, internal, X)," &
	" 793 (BC_1, *, internal, X)," &
	" 794 (BC_1, *, controlr, 1)," &
	" 795 (BC_1, IO_AA3, output3, X, 794, 1, PULL0)," & --  PAD159
	" 796 (BC_1, IO_AA3, input, X)," & --  PAD159
	" 797 (BC_1, *, controlr, 1)," &
	" 798 (BC_1, IO_AB2, output3, X, 797, 1, PULL0)," & --  PAD158
	" 799 (BC_1, IO_AB2, input, X)," & --  PAD158
	" 800 (BC_1, *, controlr, 1)," &
	" 801 (BC_1, IO_AC1, output3, X, 800, 1, PULL0)," & --  PAD157
	" 802 (BC_1, IO_AC1, input, X)," & --  PAD157
	" 803 (BC_1, *, controlr, 1)," &
	" 804 (BC_1, IO_Y3, output3, X, 803, 1, PULL0)," & --  PAD156
	" 805 (BC_1, IO_Y3, input, X)," & --  PAD156
	" 806 (BC_1, *, controlr, 1)," &
	" 807 (BC_1, IO_AA2, output3, X, 806, 1, PULL0)," & --  PAD155
	" 808 (BC_1, IO_AA2, input, X)," & --  PAD155
	" 809 (BC_1, *, controlr, 1)," &
	" 810 (BC_1, IO_AA1, output3, X, 809, 1, PULL0)," & --  PAD154
	" 811 (BC_1, IO_AA1, input, X)," & --  PAD154
	" 812 (BC_1, *, controlr, 1)," &
	" 813 (BC_1, IO_W4, output3, X, 812, 1, PULL0)," & --  PAD153
	" 814 (BC_1, IO_W4, input, X)," & --  PAD153
	" 815 (BC_1, *, controlr, 1)," &
	" 816 (BC_1, IO_W3, output3, X, 815, 1, PULL0)," & --  PAD152
	" 817 (BC_1, IO_W3, input, X)," & --  PAD152
	" 818 (BC_1, *, controlr, 1)," &
	" 819 (BC_1, IO_Y2, output3, X, 818, 1, PULL0)," & --  PAD151
	" 820 (BC_1, IO_Y2, input, X)," & --  PAD151
	" 821 (BC_1, *, controlr, 1)," &
	" 822 (BC_1, IO_Y1, output3, X, 821, 1, PULL0)," & --  PAD150
	" 823 (BC_1, IO_Y1, input, X)," & --  PAD150
	" 824 (BC_1, *, internal, X)," &
	" 825 (BC_1, *, internal, X)," &
	" 826 (BC_1, *, internal, X)," &
	" 827 (BC_1, *, controlr, 1)," &
	" 828 (BC_1, IO_V4, output3, X, 827, 1, PULL0)," & --  PAD148
	" 829 (BC_1, IO_V4, input, X)," & --  PAD148
	" 830 (BC_1, *, controlr, 1)," &
	" 831 (BC_1, IO_V3, output3, X, 830, 1, PULL0)," & --  PAD147
	" 832 (BC_1, IO_V3, input, X)," & --  PAD147
	" 833 (BC_1, *, controlr, 1)," &
	" 834 (BC_1, IO_U4, output3, X, 833, 1, PULL0)," & --  PAD146
	" 835 (BC_1, IO_U4, input, X)," & --  PAD146
	" 836 (BC_1, *, controlr, 1)," &
	" 837 (BC_1, IO_U3, output3, X, 836, 1, PULL0)," & --  PAD145
	" 838 (BC_1, IO_U3, input, X)," & --  PAD145
	" 839 (BC_1, *, internal, 1)," & -- PAD144.T
	" 840 (BC_1, *, internal, X)," & -- PAD144.O
	" 841 (BC_1, *, internal, X)," & -- PAD144.I
	" 842 (BC_1, *, controlr, 1)," &
	" 843 (BC_1, IO_V2, output3, X, 842, 1, PULL0)," & --  PAD143
	" 844 (BC_1, IO_V2, input, X)," & --  PAD143
	" 845 (BC_1, *, controlr, 1)," &
	" 846 (BC_1, IO_V1, output3, X, 845, 1, PULL0)," & --  PAD142
	" 847 (BC_1, IO_V1, input, X)," & --  PAD142
	" 848 (BC_1, *, controlr, 1)," &
	" 849 (BC_1, IO_T4, output3, X, 848, 1, PULL0)," & --  PAD141
	" 850 (BC_1, IO_T4, input, X)," & --  PAD141
	" 851 (BC_1, *, controlr, 1)," &
	" 852 (BC_1, IO_T3, output3, X, 851, 1, PULL0)," & --  PAD140
	" 853 (BC_1, IO_T3, input, X)," & --  PAD140
	" 854 (BC_1, *, internal, 1)," & -- PAD139.T
	" 855 (BC_1, *, internal, X)," & -- PAD139.O
	" 856 (BC_1, *, internal, X)," & -- PAD139.I
	" 857 (BC_1, *, controlr, 1)," &
	" 858 (BC_1, IO_U2, output3, X, 857, 1, PULL0)," & --  PAD138
	" 859 (BC_1, IO_U2, input, X)," & --  PAD138
	" 860 (BC_1, *, controlr, 1)," &
	" 861 (BC_1, IO_T2, output3, X, 860, 1, PULL0)," & --  PAD137
	" 862 (BC_1, IO_T2, input, X)," & --  PAD137
	" 863 (BC_1, *, controlr, 1)," &
	" 864 (BC_1, IO_R4, output3, X, 863, 1, PULL0)," & --  PAD136
	" 865 (BC_1, IO_R4, input, X)," & --  PAD136
	" 866 (BC_1, *, controlr, 1)," &
	" 867 (BC_1, IO_R3, output3, X, 866, 1, PULL0)," & --  PAD135
	" 868 (BC_1, IO_R3, input, X)," & --  PAD135
	" 869 (BC_1, *, internal, X)," &
	" 870 (BC_1, *, internal, X)," &
	" 871 (BC_1, *, internal, X)," &
	" 872 (BC_1, *, internal, 1)," & -- PAD133.T
	" 873 (BC_1, *, internal, X)," & -- PAD133.O
	" 874 (BC_1, *, internal, X)," & -- PAD133.I
	" 875 (BC_1, *, controlr, 1)," &
	" 876 (BC_1, IO_R2, output3, X, 875, 1, PULL0)," & --  PAD132
	" 877 (BC_1, IO_R2, input, X)," & --  PAD132
	" 878 (BC_1, *, controlr, 1)," &
	" 879 (BC_1, IO_R1, output3, X, 878, 1, PULL0)," & --  PAD131
	" 880 (BC_1, IO_R1, input, X)," & --  PAD131
	" 881 (BC_1, *, controlr, 1)," &
	" 882 (BC_1, IO_P3, output3, X, 881, 1, PULL0)," & --  PAD130
	" 883 (BC_1, IO_P3, input, X)," & --  PAD130
	" 884 (BC_1, *, internal, X)," &
	" 885 (BC_1, *, internal, X)," &
	" 886 (BC_1, *, internal, X)," &
	" 887 (BC_1, *, internal, X)," &
	" 888 (BC_1, *, internal, X)," &
	" 889 (BC_1, *, internal, X)," &
	" 890 (BC_1, *, controlr, 1)," &
	" 891 (BC_1, IO_P1, output3, X, 890, 1, PULL0)," & --  PAD127
	" 892 (BC_1, IO_P1, input, X)," & --  PAD127
	" 893 (BC_1, *, controlr, 1)," &
	" 894 (BC_1, IO_N2, output3, X, 893, 1, PULL0)," & --  PAD126
	" 895 (BC_1, IO_N2, input, X)," & --  PAD126
	" 896 (BC_1, *, controlr, 1)," &
	" 897 (BC_1, IO_N4, output3, X, 896, 1, PULL0)," & --  PAD125
	" 898 (BC_1, IO_N4, input, X)," & --  PAD125
	" 899 (BC_1, *, internal, X)," &
	" 900 (BC_1, *, internal, X)," &
	" 901 (BC_1, *, internal, X)," &
	" 902 (BC_1, *, controlr, 1)," &
	" 903 (BC_1, IO_N3, output3, X, 902, 1, PULL0)," & --  PAD123
	" 904 (BC_1, IO_N3, input, X)," & --  PAD123
	" 905 (BC_1, *, controlr, 1)," &
	" 906 (BC_1, IO_M1, output3, X, 905, 1, PULL0)," & --  PAD122
	" 907 (BC_1, IO_M1, input, X)," & --  PAD122
	" 908 (BC_1, *, controlr, 1)," &
	" 909 (BC_1, IO_M2, output3, X, 908, 1, PULL0)," & --  PAD121
	" 910 (BC_1, IO_M2, input, X)," & --  PAD121
	" 911 (BC_1, *, internal, 1)," & -- PAD120.T
	" 912 (BC_1, *, internal, X)," & -- PAD120.O
	" 913 (BC_1, *, internal, X)," & -- PAD120.I
	" 914 (BC_1, *, internal, X)," &
	" 915 (BC_1, *, internal, X)," &
	" 916 (BC_1, *, internal, X)," &
	" 917 (BC_1, *, controlr, 1)," &
	" 918 (BC_1, IO_M3, output3, X, 917, 1, PULL0)," & --  PAD118
	" 919 (BC_1, IO_M3, input, X)," & --  PAD118
	" 920 (BC_1, *, controlr, 1)," &
	" 921 (BC_1, IO_M4, output3, X, 920, 1, PULL0)," & --  PAD117
	" 922 (BC_1, IO_M4, input, X)," & --  PAD117
	" 923 (BC_1, *, controlr, 1)," &
	" 924 (BC_1, IO_L2, output3, X, 923, 1, PULL0)," & --  PAD116
	" 925 (BC_1, IO_L2, input, X)," & --  PAD116
	" 926 (BC_1, *, controlr, 1)," &
	" 927 (BC_1, IO_L3, output3, X, 926, 1, PULL0)," & --  PAD115
	" 928 (BC_1, IO_L3, input, X)," & --  PAD115
	" 929 (BC_1, *, internal, 1)," & -- PAD114.T
	" 930 (BC_1, *, internal, X)," & -- PAD114.O
	" 931 (BC_1, *, internal, X)," & -- PAD114.I
	" 932 (BC_1, *, controlr, 1)," &
	" 933 (BC_1, IO_K2, output3, X, 932, 1, PULL0)," & --  PAD113
	" 934 (BC_1, IO_K2, input, X)," & --  PAD113
	" 935 (BC_1, *, controlr, 1)," &
	" 936 (BC_1, IO_L4, output3, X, 935, 1, PULL0)," & --  PAD112
	" 937 (BC_1, IO_L4, input, X)," & --  PAD112
	" 938 (BC_1, *, controlr, 1)," &
	" 939 (BC_1, IO_J1, output3, X, 938, 1, PULL0)," & --  PAD111
	" 940 (BC_1, IO_J1, input, X)," & --  PAD111
	" 941 (BC_1, *, controlr, 1)," &
	" 942 (BC_1, IO_K3, output3, X, 941, 1, PULL0)," & --  PAD110
	" 943 (BC_1, IO_K3, input, X)," & --  PAD110
	" 944 (BC_1, *, internal, 1)," & -- PAD109.T
	" 945 (BC_1, *, internal, X)," & -- PAD109.O
	" 946 (BC_1, *, internal, X)," & -- PAD109.I
	" 947 (BC_1, *, controlr, 1)," &
	" 948 (BC_1, IO_J2, output3, X, 947, 1, PULL0)," & --  PAD108
	" 949 (BC_1, IO_J2, input, X)," & --  PAD108
	" 950 (BC_1, *, controlr, 1)," &
	" 951 (BC_1, IO_J3, output3, X, 950, 1, PULL0)," & --  PAD107
	" 952 (BC_1, IO_J3, input, X)," & --  PAD107
	" 953 (BC_1, *, controlr, 1)," &
	" 954 (BC_1, IO_G1, output3, X, 953, 1, PULL0)," & --  PAD106
	" 955 (BC_1, IO_G1, input, X)," & --  PAD106
	" 956 (BC_1, *, controlr, 1)," &
	" 957 (BC_1, IO_H2, output3, X, 956, 1, PULL0)," & --  PAD105
	" 958 (BC_1, IO_H2, input, X)," & --  PAD105
	" 959 (BC_1, *, internal, X)," &
	" 960 (BC_1, *, internal, X)," &
	" 961 (BC_1, *, internal, X)," &
	" 962 (BC_1, *, controlr, 1)," &
	" 963 (BC_1, IO_H3, output3, X, 962, 1, PULL0)," & --  PAD103
	" 964 (BC_1, IO_H3, input, X)," & --  PAD103
	" 965 (BC_1, *, controlr, 1)," &
	" 966 (BC_1, IO_J4, output3, X, 965, 1, PULL0)," & --  PAD102
	" 967 (BC_1, IO_J4, input, X)," & --  PAD102
	" 968 (BC_1, *, controlr, 1)," &
	" 969 (BC_1, IO_F1, output3, X, 968, 1, PULL0)," & --  PAD101
	" 970 (BC_1, IO_F1, input, X)," & --  PAD101
	" 971 (BC_1, *, controlr, 1)," &
	" 972 (BC_1, IO_G2, output3, X, 971, 1, PULL0)," & --  PAD100
	" 973 (BC_1, IO_G2, input, X)," & --  PAD100
	" 974 (BC_1, *, controlr, 1)," &
	" 975 (BC_1, IO_G3, output3, X, 974, 1, PULL0)," & --  PAD99
	" 976 (BC_1, IO_G3, input, X)," & --  PAD99
	" 977 (BC_1, *, controlr, 1)," &
	" 978 (BC_1, IO_F2, output3, X, 977, 1, PULL0)," & --  PAD98
	" 979 (BC_1, IO_F2, input, X)," & --  PAD98
	" 980 (BC_1, *, controlr, 1)," &
	" 981 (BC_1, IO_E2, output3, X, 980, 1, PULL0)," & --  PAD97
	" 982 (BC_1, IO_E2, input, X)," & --  PAD97
	" 983 (BC_1, *, controlr, 1)," &
	" 984 (BC_1, IO_F3, output3, X, 983, 1, PULL0)," & --  PAD96
	" 985 (BC_1, IO_F3, input, X)," & --  PAD96
	" 986 (BC_1, *, controlr, 1)," &
	" 987 (BC_1, IO_G4, output3, X, 986, 1, PULL0)," & --  PAD95
	" 988 (BC_1, IO_G4, input, X)," & --  PAD95
	" 989 (BC_1, *, controlr, 1)," &
	" 990 (BC_1, IO_D1, output3, X, 989, 1, PULL0)," & --  PAD94
	" 991 (BC_1, IO_D1, input, X)," & --  PAD94
	" 992 (BC_1, *, internal, X)," &
	" 993 (BC_1, *, internal, X)," &
	" 994 (BC_1, *, internal, X)," &
	" 995 (BC_1, *, controlr, 1)," &
	" 996 (BC_1, IO_C1, output3, X, 995, 1, PULL0)," & --  PAD92
	" 997 (BC_1, IO_C1, input, X)," & --  PAD92
	" 998 (BC_1, *, controlr, 1)," &
	" 999 (BC_1, IO_D2, output3, X, 998, 1, PULL0)," & --  PAD91
	"1000 (BC_1, IO_D2, input, X)," & --  PAD91
	"1001 (BC_1, *, controlr, 1)," &
	"1002 (BC_1, IO_F4, output3, X, 1001, 1, PULL0)," & --  PAD90
	"1003 (BC_1, IO_F4, input, X)," & --  PAD90
	"1004 (BC_1, *, controlr, 1)," &
	"1005 (BC_1, IO_E3, output3, X, 1004, 1, PULL0)," & --  PAD89
	"1006 (BC_1, IO_E3, input, X)," & --  PAD89
	"1007 (BC_1, *, controlr, 1)," &
	"1008 (BC_1, IO_C2, output3, X, 1007, 1, PULL0)," & --  PAD88
	"1009 (BC_1, IO_C2, input, X)," & --  PAD88
	"1010 (BC_1, *, internal, X)," &
	"1011 (BC_1, *, internal, X)," &
	"1012 (BC_1, *, internal, X)," &
	"1013 (BC_1, *, controlr, 1)," &
	"1014 (BC_1, IO_D3, output3, X, 1013, 1, PULL0)," & --  PAD86
	"1015 (BC_1, IO_D3, input, X)," & --  PAD86
	"1016 (BC_1, *, controlr, 1)," &
	"1017 (BC_1, IO_E4, output3, X, 1016, 1, PULL0)," & --  PAD85
	"1018 (BC_1, IO_E4, input, X)," & --  PAD85
	"1019 (BC_1, *, controlr, 1)," &
	"1020 (BC_1, CCLK_C3, output3, X, 1019, 1, PULL1)," &
	"1021 (BC_1, CCLK_C3, input, X)";

	
attribute DESIGN_WARNING of XCV200E_BG352 : entity is
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "The boundary scan test vectors must keep the PROGRAM pin" &
                "either 3-stated or driving high.  If the PROGRAM pin" &
                "is driven low through any means, the TAP controller" &
                "will reset." &
        "The disable result of a 3-stated I/O in this file" &
                "correspond with configuration mode pin settings without" &
                "pre-configuration pull-up resistors.  For the" &
                "modes with pull-up resistors, change PULL0 to PULL1." &
        "In EXTEST, the determination of whether this is" &
                "a pull-up configuration mode depends upon the values" &
                "shifted in and updated for the mode pin register cells." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control is not captured properly when" &
                "GTS is activated.";

end XCV200E_BG352;