BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XCV100_PQ240

--$ XILINX$RCSfile: xcv100_pq240.bsd,v $
--$ XILINX$Revision: 1.4 $
--
-- BSDL file for device XCV100, package PQ240
-- Xilinx, Inc. $State: FINAL $ $Date: 2002-01-29 13:51:18-08 $
-- Generated by createBSDL 2.10
--
-- For technical support, contact Xilinx as follows: 
-- North America 1-800-255-7778 hotline@xilinx.com 
-- United Kingdom +44 870 7350 610 eurosupport@xilinx.com
-- France  (33) 1 3463 0100 eurosupport@xilinx.com
-- Germany  (49) 89 991 54930 eurosupport@xilinx.com
-- Japan  (81) 3-3297-9163 jhotline@xilinx.com
--
-- BSDL verified to conform to 1149.1b-1994 syntax. This device has been 
-- tested by the Intellitech 1149.1 Verification Lab using the Intellitech
-- Eclipse(TM) Scan Diagnostic Tool and the Intellitech RCT(TM). This
-- device has been verified to operate according to the BSDL provided,
-- and is compatible with the IEEE 1149.1 standard when the operating 
-- instructions in the BSDL are followed. 
-- PH: 603-868-7116 or email: scansupport@intellitech.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
-- 	
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
-- 
-- The boundary scan test vectors must keep the PROGRAM_B pin either 3-stated
-- or driving high. If the PROGRAM_B pin is driven low through any means,
-- the TAP controller will reset.
-- 
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an LVTTL
-- input buffer. In order to properly capture a logic high value driven from one
-- of these IOBs into an input boundary scan cell, VCCO must be
-- at least 2V (Vih for LVTTL).
-- 
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.

entity XCV100_PQ240 is

generic (PHYSICAL_PIN_MAP : string := "PQ240" );

port (
	CCLK: inout bit;
	DONE: inout bit;
	GCLKPAD0: in bit;
	GCLKPAD1: in bit;
	GCLKPAD2: in bit;
	GCLKPAD3: in bit;
	GND: linkage bit_vector (1 to 32);
	INIT: inout bit;
	M0: in bit;
	M1: in bit;
	M2: in bit;
	PAD2: inout bit;
	PAD4: inout bit;
	PAD5: inout bit;
	PAD7: inout bit;
	PAD8: inout bit;
	PAD9: inout bit;
	PAD10: inout bit;
	PAD13: inout bit;
	PAD15: inout bit;
	PAD16: inout bit;
	PAD17: inout bit;
	PAD18: inout bit;
	PAD20: inout bit;
	PAD22: inout bit;
	PAD23: inout bit;
	PAD24: inout bit;
	PAD25: inout bit;
	PAD26: inout bit;
	PAD27: inout bit;
	PAD32: inout bit;
	PAD34: inout bit;
	PAD35: inout bit;
	PAD36: inout bit;
	PAD37: inout bit;
	PAD38: inout bit;
	PAD39: inout bit;
	PAD41: inout bit;
	PAD43: inout bit;
	PAD44: inout bit;
	PAD45: inout bit;
	PAD46: inout bit;
	PAD48: inout bit;
	PAD51: inout bit;
	PAD52: inout bit;
	PAD53: inout bit;
	PAD54: inout bit;
	PAD56: inout bit;
	PAD57: inout bit;
	PAD59: inout bit;
	PAD60: inout bit;
	PAD61: inout bit;
	PAD62: inout bit;
	PAD64: inout bit;
	PAD65: inout bit;
	PAD67: inout bit;
	PAD68: inout bit;
	PAD69: inout bit;
	PAD70: inout bit;
	PAD73: inout bit;
	PAD75: inout bit;
	PAD76: inout bit;
	PAD77: inout bit;
	PAD78: inout bit;
	PAD80: inout bit;
	PAD82: inout bit;
	PAD83: inout bit;
	PAD84: inout bit;
	PAD85: inout bit;
	PAD86: inout bit;
	PAD87: inout bit;
	PAD89: inout bit;
	PAD90: inout bit;
	PAD91: inout bit;
	PAD94: inout bit;
	PAD95: inout bit;
	PAD96: inout bit;
	PAD97: inout bit;
	PAD98: inout bit;
	PAD99: inout bit;
	PAD101: inout bit;
	PAD103: inout bit;
	PAD104: inout bit;
	PAD105: inout bit;
	PAD106: inout bit;
	PAD108: inout bit;
	PAD111: inout bit;
	PAD112: inout bit;
	PAD113: inout bit;
	PAD114: inout bit;
	PAD116: inout bit;
	PAD117: inout bit;
	PAD119: inout bit;
	PAD121: inout bit;
	PAD122: inout bit;
	PAD124: inout bit;
	PAD125: inout bit;
	PAD127: inout bit;
	PAD128: inout bit;
	PAD129: inout bit;
	PAD130: inout bit;
	PAD133: inout bit;
	PAD135: inout bit;
	PAD136: inout bit;
	PAD137: inout bit;
	PAD138: inout bit;
	PAD140: inout bit;
	PAD142: inout bit;
	PAD143: inout bit;
	PAD144: inout bit;
	PAD145: inout bit;
	PAD146: inout bit;
	PAD147: inout bit;
	PAD149: inout bit;
	PAD154: inout bit;
	PAD155: inout bit;
	PAD156: inout bit;
	PAD157: inout bit;
	PAD158: inout bit;
	PAD159: inout bit;
	PAD161: inout bit;
	PAD163: inout bit;
	PAD164: inout bit;
	PAD165: inout bit;
	PAD166: inout bit;
	PAD168: inout bit;
	PAD171: inout bit;
	PAD172: inout bit;
	PAD173: inout bit;
	PAD174: inout bit;
	PAD176: inout bit;
	PAD177: inout bit;
	PAD179: inout bit;
	PAD180: inout bit;
	PAD181: inout bit;
	PAD182: inout bit;
	PAD184: inout bit;
	PAD185: inout bit;
	PAD187: inout bit;
	PAD188: inout bit;
	PAD189: inout bit;
	PAD190: inout bit;
	PAD193: inout bit;
	PAD195: inout bit;
	PAD196: inout bit;
	PAD197: inout bit;
	PAD198: inout bit;
	PAD200: inout bit;
	PAD202: inout bit;
	PAD203: inout bit;
	PAD204: inout bit;
	PAD205: inout bit;
	PAD206: inout bit;
	PAD207: inout bit;
	PAD210: inout bit;
	PAD211: inout bit;
	PAD212: inout bit;
	PAD214: inout bit;
	PAD215: inout bit;
	PAD216: inout bit;
	PAD217: inout bit;
	PAD218: inout bit;
	PAD219: inout bit;
	PAD221: inout bit;
	PAD223: inout bit;
	PAD224: inout bit;
	PAD225: inout bit;
	PAD226: inout bit;
	PAD228: inout bit;
	PAD231: inout bit;
	PAD232: inout bit;
	PAD233: inout bit;
	PAD234: inout bit;
	PAD236: inout bit;
	PAD237: inout bit;
	PAD239: inout bit;
	PROGRAM_B: in bit;
	TCK: in bit;
	TDI: in bit;
	TDO: out bit;
	TMS: in bit;
	VCCO: linkage bit_vector (1 to 16);
	VDD: linkage bit_vector (1 to 12)
); --end port list

use STD_1149_1_1994.all;

attribute COMPONENT_CONFORMANCE of XCV100_PQ240 : entity is
	"STD_1149_1_1993";

attribute PIN_MAP of XCV100_PQ240 : entity is PHYSICAL_PIN_MAP;

constant PQ240: PIN_MAP_STRING:=
	"CCLK:P179," &
	"DONE:P120," &
	"GCLKPAD0:P92," &
	"GCLKPAD1:P89," &
	"GCLKPAD2:P210," &
	"GCLKPAD3:P213," &
	"GND:(P219,P227,P233,P1,P8,P14,P22,P29,P37,P45," &
		"P51,P59,P69,P75,P83,P91,P98,P106,P112,P119," &
		"P129,P135,P143,P151,P158,P166,P172,P182,P190,P196," &
		"P204,P211)," &
	"INIT:P123," &
	"M0:P60," &
	"M1:P58," &
	"M2:P62," &
	"PAD2:P238," &
	"PAD4:P237," &
	"PAD5:P236," &
	"PAD7:P235," &
	"PAD8:P234," &
	"PAD9:P232," &
	"PAD10:P231," &
	"PAD13:P230," &
	"PAD15:P229," &
	"PAD16:P228," &
	"PAD17:P224," &
	"PAD18:P223," &
	"PAD20:P222," &
	"PAD22:P221," &
	"PAD23:P220," &
	"PAD24:P218," &
	"PAD25:P217," &
	"PAD26:P216," &
	"PAD27:P215," &
	"PAD32:P209," &
	"PAD34:P208," &
	"PAD35:P207," &
	"PAD36:P206," &
	"PAD37:P205," &
	"PAD38:P203," &
	"PAD39:P202," &
	"PAD41:P201," &
	"PAD43:P200," &
	"PAD44:P199," &
	"PAD45:P195," &
	"PAD46:P194," &
	"PAD48:P193," &
	"PAD51:P192," &
	"PAD52:P191," &
	"PAD53:P189," &
	"PAD54:P188," &
	"PAD56:P187," &
	"PAD57:P186," &
	"PAD59:P185," &
	"PAD60:P184," &
	"PAD61:P178," &
	"PAD62:P177," &
	"PAD64:P176," &
	"PAD65:P175," &
	"PAD67:P174," &
	"PAD68:P173," &
	"PAD69:P171," &
	"PAD70:P170," &
	"PAD73:P169," &
	"PAD75:P168," &
	"PAD76:P167," &
	"PAD77:P163," &
	"PAD78:P162," &
	"PAD80:P161," &
	"PAD82:P160," &
	"PAD83:P159," &
	"PAD84:P157," &
	"PAD85:P156," &
	"PAD86:P155," &
	"PAD87:P154," &
	"PAD89:P153," &
	"PAD90:P152," &
	"PAD91:P149," &
	"PAD94:P147," &
	"PAD95:P146," &
	"PAD96:P145," &
	"PAD97:P144," &
	"PAD98:P142," &
	"PAD99:P141," &
	"PAD101:P140," &
	"PAD103:P139," &
	"PAD104:P138," &
	"PAD105:P134," &
	"PAD106:P133," &
	"PAD108:P132," &
	"PAD111:P131," &
	"PAD112:P130," &
	"PAD113:P128," &
	"PAD114:P127," &
	"PAD116:P126," &
	"PAD117:P125," &
	"PAD119:P124," &
	"PAD121:P118," &
	"PAD122:P117," &
	"PAD124:P116," &
	"PAD125:P115," &
	"PAD127:P114," &
	"PAD128:P113," &
	"PAD129:P111," &
	"PAD130:P110," &
	"PAD133:P109," &
	"PAD135:P108," &
	"PAD136:P107," &
	"PAD137:P103," &
	"PAD138:P102," &
	"PAD140:P101," &
	"PAD142:P100," &
	"PAD143:P99," &
	"PAD144:P97," &
	"PAD145:P96," &
	"PAD146:P95," &
	"PAD147:P94," &
	"PAD149:P93," &
	"PAD154:P87," &
	"PAD155:P86," &
	"PAD156:P85," &
	"PAD157:P84," &
	"PAD158:P82," &
	"PAD159:P81," &
	"PAD161:P80," &
	"PAD163:P79," &
	"PAD164:P78," &
	"PAD165:P74," &
	"PAD166:P73," &
	"PAD168:P72," &
	"PAD171:P71," &
	"PAD172:P70," &
	"PAD173:P68," &
	"PAD174:P67," &
	"PAD176:P66," &
	"PAD177:P65," &
	"PAD179:P64," &
	"PAD180:P63," &
	"PAD181:P57," &
	"PAD182:P56," &
	"PAD184:P55," &
	"PAD185:P54," &
	"PAD187:P53," &
	"PAD188:P52," &
	"PAD189:P50," &
	"PAD190:P49," &
	"PAD193:P48," &
	"PAD195:P47," &
	"PAD196:P46," &
	"PAD197:P42," &
	"PAD198:P41," &
	"PAD200:P40," &
	"PAD202:P39," &
	"PAD203:P38," &
	"PAD204:P36," &
	"PAD205:P35," &
	"PAD206:P34," &
	"PAD207:P33," &
	"PAD210:P31," &
	"PAD211:P28," &
	"PAD212:P27," &
	"PAD214:P26," &
	"PAD215:P25," &
	"PAD216:P24," &
	"PAD217:P23," &
	"PAD218:P21," &
	"PAD219:P20," &
	"PAD221:P19," &
	"PAD223:P18," &
	"PAD224:P17," &
	"PAD225:P13," &
	"PAD226:P12," &
	"PAD228:P11," &
	"PAD231:P10," &
	"PAD232:P9," &
	"PAD233:P7," &
	"PAD234:P6," &
	"PAD236:P5," &
	"PAD237:P4," &
	"PAD239:P3," &
	"PROGRAM_B:P122," &
	"TCK:P239," &
	"TDI:P183," &
	"TDO:P181," &
	"TMS:P2," &
	"VCCO:(P212,P226,P240,P15,P30,P44,P61,P76,P90,P105," &
		"P121,P136,P150,P165,P180,P197)," &
	"VDD:(P214,P225,P16,P32,P43,P77,P88,P104,P137,P148," &
		"P164,P198)";
--end pin map

attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);

attribute COMPLIANCE_PATTERNS of XCV100_PQ240 : entity is
	"(PROGRAM_B) (1)";

attribute INSTRUCTION_LENGTH of XCV100_PQ240 : entity is 5;

attribute INSTRUCTION_OPCODE of XCV100_PQ240 : entity is
	"SAMPLE (00001)," &
	"INTEST (00111)," &
	"USERCODE (01000)," &
	"IDCODE (01001)," &
	"HIGHZ (01010)," &
	"JSTART (01100)," & -- Not available during configuration with another mode.
	"RESERVED (00110)," &
	"CFG_OUT (00100)," & -- Not available during configuration with another mode.
	"CFG_IN (00101)," & -- Not available during configuration with another mode.
	"USER2 (00011)," & -- Not available until after configuration
	"USER1 (00010)," & -- Not available until after configuration
	"EXTEST (00000)," &
	"BYPASS (11111)";

attribute INSTRUCTION_CAPTURE of XCV100_PQ240 : entity is "XXX01";
-- Bit 4 of instruction capture is PROGRAM_B. Bit 3 is INIT.  Bit 2 is DONE.

-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.

attribute INSTRUCTION_PRIVATE of XCV100_PQ240 : entity is
	"USER1," &
	"USER2," &
	"JSTART," &
	"CFG_IN," &
	"RESERVED," &
	"CFG_OUT";

attribute IDCODE_REGISTER of XCV100_PQ240 : entity is
	"XXXX" &	-- version
	"0000011" &	-- family
	"000010100" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1

attribute USERCODE_REGISTER of XCV100_PQ240: entity is
	"XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

attribute REGISTER_ACCESS of XCV100_PQ240 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
	"BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,INTEST,EXTEST)";

attribute BOUNDARY_LENGTH of XCV100_PQ240 : entity is 734;

attribute BOUNDARY_REGISTER of XCV100_PQ240 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_1, *, controlr, 1)," &
	"   1 (BC_1, PAD60, output3, X, 0, 1, PULL0)," &
	"   2 (BC_1, PAD60, input, X)," &
	"   3 (BC_1, *, controlr, 1)," &
	"   4 (BC_1, PAD59, output3, X, 3, 1, PULL0)," &
	"   5 (BC_1, PAD59, input, X)," &
	"   6 (BC_1, *, internal, X)," &
	"   7 (BC_1, *, internal, X)," &
	"   8 (BC_1, *, internal, X)," &
	"   9 (BC_1, *, controlr, 1)," &
	"  10 (BC_1, PAD57, output3, X, 9, 1, PULL0)," &
	"  11 (BC_1, PAD57, input, X)," &
	"  12 (BC_1, *, controlr, 1)," &
	"  13 (BC_1, PAD56, output3, X, 12, 1, PULL0)," &
	"  14 (BC_1, PAD56, input, X)," &
	"  15 (BC_1, *, internal, 1)," & -- PAD55.T
	"  16 (BC_1, *, internal, X)," & -- PAD55.O
	"  17 (BC_1, *, internal, X)," & -- PAD55.I
	"  18 (BC_1, *, controlr, 1)," &
	"  19 (BC_1, PAD54, output3, X, 18, 1, PULL0)," &
	"  20 (BC_1, PAD54, input, X)," &
	"  21 (BC_1, *, controlr, 1)," &
	"  22 (BC_1, PAD53, output3, X, 21, 1, PULL0)," &
	"  23 (BC_1, PAD53, input, X)," &
	"  24 (BC_1, *, controlr, 1)," &
	"  25 (BC_1, PAD52, output3, X, 24, 1, PULL0)," &
	"  26 (BC_1, PAD52, input, X)," &
	"  27 (BC_1, *, controlr, 1)," &
	"  28 (BC_1, PAD51, output3, X, 27, 1, PULL0)," &
	"  29 (BC_1, PAD51, input, X)," &
	"  30 (BC_1, *, internal, X)," &
	"  31 (BC_1, *, internal, X)," &
	"  32 (BC_1, *, internal, X)," &
	"  33 (BC_1, *, internal, 1)," & -- PAD49.T
	"  34 (BC_1, *, internal, X)," & -- PAD49.O
	"  35 (BC_1, *, internal, X)," & -- PAD49.I
	"  36 (BC_1, *, controlr, 1)," &
	"  37 (BC_1, PAD48, output3, X, 36, 1, PULL0)," &
	"  38 (BC_1, PAD48, input, X)," &
	"  39 (BC_1, *, internal, 1)," & -- PAD47.T
	"  40 (BC_1, *, internal, X)," & -- PAD47.O
	"  41 (BC_1, *, internal, X)," & -- PAD47.I
	"  42 (BC_1, *, controlr, 1)," &
	"  43 (BC_1, PAD46, output3, X, 42, 1, PULL0)," &
	"  44 (BC_1, PAD46, input, X)," &
	"  45 (BC_1, *, controlr, 1)," &
	"  46 (BC_1, PAD45, output3, X, 45, 1, PULL0)," &
	"  47 (BC_1, PAD45, input, X)," &
	"  48 (BC_1, *, controlr, 1)," &
	"  49 (BC_1, PAD44, output3, X, 48, 1, PULL0)," &
	"  50 (BC_1, PAD44, input, X)," &
	"  51 (BC_1, *, controlr, 1)," &
	"  52 (BC_1, PAD43, output3, X, 51, 1, PULL0)," &
	"  53 (BC_1, PAD43, input, X)," &
	"  54 (BC_1, *, internal, 1)," & -- PAD42.T
	"  55 (BC_1, *, internal, X)," & -- PAD42.O
	"  56 (BC_1, *, internal, X)," & -- PAD42.I
	"  57 (BC_1, *, controlr, 1)," &
	"  58 (BC_1, PAD41, output3, X, 57, 1, PULL0)," &
	"  59 (BC_1, PAD41, input, X)," &
	"  60 (BC_1, *, internal, X)," &
	"  61 (BC_1, *, internal, X)," &
	"  62 (BC_1, *, internal, X)," &
	"  63 (BC_1, *, controlr, 1)," &
	"  64 (BC_1, PAD39, output3, X, 63, 1, PULL0)," &
	"  65 (BC_1, PAD39, input, X)," &
	"  66 (BC_1, *, controlr, 1)," &
	"  67 (BC_1, PAD38, output3, X, 66, 1, PULL0)," &
	"  68 (BC_1, PAD38, input, X)," &
	"  69 (BC_1, *, controlr, 1)," &
	"  70 (BC_1, PAD37, output3, X, 69, 1, PULL0)," &
	"  71 (BC_1, PAD37, input, X)," &
	"  72 (BC_1, *, controlr, 1)," &
	"  73 (BC_1, PAD36, output3, X, 72, 1, PULL0)," &
	"  74 (BC_1, PAD36, input, X)," &
	"  75 (BC_1, *, controlr, 1)," &
	"  76 (BC_1, PAD35, output3, X, 75, 1, PULL0)," &
	"  77 (BC_1, PAD35, input, X)," &
	"  78 (BC_1, *, controlr, 1)," &
	"  79 (BC_1, PAD34, output3, X, 78, 1, PULL0)," &
	"  80 (BC_1, PAD34, input, X)," &
	"  81 (BC_1, *, internal, X)," &
	"  82 (BC_1, *, internal, X)," &
	"  83 (BC_1, *, internal, X)," &
	"  84 (BC_1, *, controlr, 1)," &
	"  85 (BC_1, PAD32, output3, X, 84, 1, PULL0)," &
	"  86 (BC_1, PAD32, input, X)," &
	"  87 (BC_1, *, internal, X)," &
	"  88 (BC_1, *, internal, X)," &
	"  89 (BC_1, *, internal, X)," &
	"  90 (BC_1, GCLKPAD2, input, X)," &
	"  91 (BC_1, GCLKPAD3, input, X)," &
	"  92 (BC_1, *, internal, X)," &
	"  93 (BC_1, *, internal, X)," &
	"  94 (BC_1, *, internal, X)," &
	"  95 (BC_1, *, internal, X)," &
	"  96 (BC_1, *, internal, X)," &
	"  97 (BC_1, *, internal, X)," &
	"  98 (BC_1, *, internal, X)," &
	"  99 (BC_1, *, internal, X)," &
	" 100 (BC_1, *, internal, X)," &
	" 101 (BC_1, *, controlr, 1)," &
	" 102 (BC_1, PAD27, output3, X, 101, 1, PULL0)," &
	" 103 (BC_1, PAD27, input, X)," &
	" 104 (BC_1, *, controlr, 1)," &
	" 105 (BC_1, PAD26, output3, X, 104, 1, PULL0)," &
	" 106 (BC_1, PAD26, input, X)," &
	" 107 (BC_1, *, controlr, 1)," &
	" 108 (BC_1, PAD25, output3, X, 107, 1, PULL0)," &
	" 109 (BC_1, PAD25, input, X)," &
	" 110 (BC_1, *, controlr, 1)," &
	" 111 (BC_1, PAD24, output3, X, 110, 1, PULL0)," &
	" 112 (BC_1, PAD24, input, X)," &
	" 113 (BC_1, *, controlr, 1)," &
	" 114 (BC_1, PAD23, output3, X, 113, 1, PULL0)," &
	" 115 (BC_1, PAD23, input, X)," &
	" 116 (BC_1, *, controlr, 1)," &
	" 117 (BC_1, PAD22, output3, X, 116, 1, PULL0)," &
	" 118 (BC_1, PAD22, input, X)," &
	" 119 (BC_1, *, internal, X)," &
	" 120 (BC_1, *, internal, X)," &
	" 121 (BC_1, *, internal, X)," &
	" 122 (BC_1, *, controlr, 1)," &
	" 123 (BC_1, PAD20, output3, X, 122, 1, PULL0)," &
	" 124 (BC_1, PAD20, input, X)," &
	" 125 (BC_1, *, internal, 1)," & -- PAD19.T
	" 126 (BC_1, *, internal, X)," & -- PAD19.O
	" 127 (BC_1, *, internal, X)," & -- PAD19.I
	" 128 (BC_1, *, controlr, 1)," &
	" 129 (BC_1, PAD18, output3, X, 128, 1, PULL0)," &
	" 130 (BC_1, PAD18, input, X)," &
	" 131 (BC_1, *, controlr, 1)," &
	" 132 (BC_1, PAD17, output3, X, 131, 1, PULL0)," &
	" 133 (BC_1, PAD17, input, X)," &
	" 134 (BC_1, *, controlr, 1)," &
	" 135 (BC_1, PAD16, output3, X, 134, 1, PULL0)," &
	" 136 (BC_1, PAD16, input, X)," &
	" 137 (BC_1, *, controlr, 1)," &
	" 138 (BC_1, PAD15, output3, X, 137, 1, PULL0)," &
	" 139 (BC_1, PAD15, input, X)," &
	" 140 (BC_1, *, internal, 1)," & -- PAD14.T
	" 141 (BC_1, *, internal, X)," & -- PAD14.O
	" 142 (BC_1, *, internal, X)," & -- PAD14.I
	" 143 (BC_1, *, controlr, 1)," &
	" 144 (BC_1, PAD13, output3, X, 143, 1, PULL0)," &
	" 145 (BC_1, PAD13, input, X)," &
	" 146 (BC_1, *, internal, 1)," & -- PAD12.T
	" 147 (BC_1, *, internal, X)," & -- PAD12.O
	" 148 (BC_1, *, internal, X)," & -- PAD12.I
	" 149 (BC_1, *, internal, X)," &
	" 150 (BC_1, *, internal, X)," &
	" 151 (BC_1, *, internal, X)," &
	" 152 (BC_1, *, controlr, 1)," &
	" 153 (BC_1, PAD10, output3, X, 152, 1, PULL0)," &
	" 154 (BC_1, PAD10, input, X)," &
	" 155 (BC_1, *, controlr, 1)," &
	" 156 (BC_1, PAD9, output3, X, 155, 1, PULL0)," &
	" 157 (BC_1, PAD9, input, X)," &
	" 158 (BC_1, *, controlr, 1)," &
	" 159 (BC_1, PAD8, output3, X, 158, 1, PULL0)," &
	" 160 (BC_1, PAD8, input, X)," &
	" 161 (BC_1, *, controlr, 1)," &
	" 162 (BC_1, PAD7, output3, X, 161, 1, PULL0)," &
	" 163 (BC_1, PAD7, input, X)," &
	" 164 (BC_1, *, internal, 1)," & -- PAD6.T
	" 165 (BC_1, *, internal, X)," & -- PAD6.O
	" 166 (BC_1, *, internal, X)," & -- PAD6.I
	" 167 (BC_1, *, controlr, 1)," &
	" 168 (BC_1, PAD5, output3, X, 167, 1, PULL0)," &
	" 169 (BC_1, PAD5, input, X)," &
	" 170 (BC_1, *, controlr, 1)," &
	" 171 (BC_1, PAD4, output3, X, 170, 1, PULL0)," &
	" 172 (BC_1, PAD4, input, X)," &
	" 173 (BC_1, *, internal, X)," &
	" 174 (BC_1, *, internal, X)," &
	" 175 (BC_1, *, internal, X)," &
	" 176 (BC_1, *, controlr, 1)," &
	" 177 (BC_1, PAD2, output3, X, 176, 1, PULL0)," &
	" 178 (BC_1, PAD2, input, X)," &
	" 179 (BC_1, *, internal, X)," &
	" 180 (BC_1, *, internal, X)," &
	" 181 (BC_1, *, internal, X)," &
	" 182 (BC_1, *, internal, X)," &
	" 183 (BC_1, *, internal, X)," &
	" 184 (BC_1, *, internal, X)," &
	" 185 (BC_1, *, controlr, 1)," &
	" 186 (BC_1, PAD239, output3, X, 185, 1, PULL0)," &
	" 187 (BC_1, PAD239, input, X)," &
	" 188 (BC_1, *, internal, X)," &
	" 189 (BC_1, *, internal, X)," &
	" 190 (BC_1, *, internal, X)," &
	" 191 (BC_1, *, controlr, 1)," &
	" 192 (BC_1, PAD237, output3, X, 191, 1, PULL0)," &
	" 193 (BC_1, PAD237, input, X)," &
	" 194 (BC_1, *, controlr, 1)," &
	" 195 (BC_1, PAD236, output3, X, 194, 1, PULL0)," &
	" 196 (BC_1, PAD236, input, X)," &
	" 197 (BC_1, *, internal, 1)," & -- PAD235.T
	" 198 (BC_1, *, internal, X)," & -- PAD235.O
	" 199 (BC_1, *, internal, X)," & -- PAD235.I
	" 200 (BC_1, *, controlr, 1)," &
	" 201 (BC_1, PAD234, output3, X, 200, 1, PULL0)," &
	" 202 (BC_1, PAD234, input, X)," &
	" 203 (BC_1, *, controlr, 1)," &
	" 204 (BC_1, PAD233, output3, X, 203, 1, PULL0)," &
	" 205 (BC_1, PAD233, input, X)," &
	" 206 (BC_1, *, controlr, 1)," &
	" 207 (BC_1, PAD232, output3, X, 206, 1, PULL0)," &
	" 208 (BC_1, PAD232, input, X)," &
	" 209 (BC_1, *, controlr, 1)," &
	" 210 (BC_1, PAD231, output3, X, 209, 1, PULL0)," &
	" 211 (BC_1, PAD231, input, X)," &
	" 212 (BC_1, *, internal, X)," &
	" 213 (BC_1, *, internal, X)," &
	" 214 (BC_1, *, internal, X)," &
	" 215 (BC_1, *, internal, 1)," & -- PAD229.T
	" 216 (BC_1, *, internal, X)," & -- PAD229.O
	" 217 (BC_1, *, internal, X)," & -- PAD229.I
	" 218 (BC_1, *, controlr, 1)," &
	" 219 (BC_1, PAD228, output3, X, 218, 1, PULL0)," &
	" 220 (BC_1, PAD228, input, X)," &
	" 221 (BC_1, *, internal, 1)," & -- PAD227.T
	" 222 (BC_1, *, internal, X)," & -- PAD227.O
	" 223 (BC_1, *, internal, X)," & -- PAD227.I
	" 224 (BC_1, *, controlr, 1)," &
	" 225 (BC_1, PAD226, output3, X, 224, 1, PULL0)," &
	" 226 (BC_1, PAD226, input, X)," &
	" 227 (BC_1, *, controlr, 1)," &
	" 228 (BC_1, PAD225, output3, X, 227, 1, PULL0)," &
	" 229 (BC_1, PAD225, input, X)," &
	" 230 (BC_1, *, controlr, 1)," &
	" 231 (BC_1, PAD224, output3, X, 230, 1, PULL0)," &
	" 232 (BC_1, PAD224, input, X)," &
	" 233 (BC_1, *, controlr, 1)," &
	" 234 (BC_1, PAD223, output3, X, 233, 1, PULL0)," &
	" 235 (BC_1, PAD223, input, X)," &
	" 236 (BC_1, *, internal, 1)," & -- PAD222.T
	" 237 (BC_1, *, internal, X)," & -- PAD222.O
	" 238 (BC_1, *, internal, X)," & -- PAD222.I
	" 239 (BC_1, *, controlr, 1)," &
	" 240 (BC_1, PAD221, output3, X, 239, 1, PULL0)," &
	" 241 (BC_1, PAD221, input, X)," &
	" 242 (BC_1, *, internal, X)," &
	" 243 (BC_1, *, internal, X)," &
	" 244 (BC_1, *, internal, X)," &
	" 245 (BC_1, *, controlr, 1)," &
	" 246 (BC_1, PAD219, output3, X, 245, 1, PULL0)," &
	" 247 (BC_1, PAD219, input, X)," &
	" 248 (BC_1, *, controlr, 1)," &
	" 249 (BC_1, PAD218, output3, X, 248, 1, PULL0)," &
	" 250 (BC_1, PAD218, input, X)," &
	" 251 (BC_1, *, controlr, 1)," &
	" 252 (BC_1, PAD217, output3, X, 251, 1, PULL0)," &
	" 253 (BC_1, PAD217, input, X)," &
	" 254 (BC_1, *, controlr, 1)," &
	" 255 (BC_1, PAD216, output3, X, 254, 1, PULL0)," &
	" 256 (BC_1, PAD216, input, X)," &
	" 257 (BC_1, *, controlr, 1)," &
	" 258 (BC_1, PAD215, output3, X, 257, 1, PULL0)," &
	" 259 (BC_1, PAD215, input, X)," &
	" 260 (BC_1, *, controlr, 1)," &
	" 261 (BC_1, PAD214, output3, X, 260, 1, PULL0)," &
	" 262 (BC_1, PAD214, input, X)," &
	" 263 (BC_1, *, internal, X)," &
	" 264 (BC_1, *, internal, X)," &
	" 265 (BC_1, *, internal, X)," &
	" 266 (BC_1, *, controlr, 1)," &
	" 267 (BC_1, PAD212, output3, X, 266, 1, PULL0)," &
	" 268 (BC_1, PAD212, input, X)," &
	" 269 (BC_1, *, controlr, 1)," &
	" 270 (BC_1, PAD211, output3, X, 269, 1, PULL0)," &
	" 271 (BC_1, PAD211, input, X)," &
	" 272 (BC_1, *, controlr, 1)," &
	" 273 (BC_1, PAD210, output3, X, 272, 1, PULL0)," &
	" 274 (BC_1, PAD210, input, X)," &
	" 275 (BC_1, *, internal, X)," &
	" 276 (BC_1, *, internal, X)," &
	" 277 (BC_1, *, internal, X)," &
	" 278 (BC_1, *, internal, X)," &
	" 279 (BC_1, *, internal, X)," &
	" 280 (BC_1, *, internal, X)," &
	" 281 (BC_1, *, controlr, 1)," &
	" 282 (BC_1, PAD207, output3, X, 281, 1, PULL0)," &
	" 283 (BC_1, PAD207, input, X)," &
	" 284 (BC_1, *, controlr, 1)," &
	" 285 (BC_1, PAD206, output3, X, 284, 1, PULL0)," &
	" 286 (BC_1, PAD206, input, X)," &
	" 287 (BC_1, *, controlr, 1)," &
	" 288 (BC_1, PAD205, output3, X, 287, 1, PULL0)," &
	" 289 (BC_1, PAD205, input, X)," &
	" 290 (BC_1, *, controlr, 1)," &
	" 291 (BC_1, PAD204, output3, X, 290, 1, PULL0)," &
	" 292 (BC_1, PAD204, input, X)," &
	" 293 (BC_1, *, controlr, 1)," &
	" 294 (BC_1, PAD203, output3, X, 293, 1, PULL0)," &
	" 295 (BC_1, PAD203, input, X)," &
	" 296 (BC_1, *, controlr, 1)," &
	" 297 (BC_1, PAD202, output3, X, 296, 1, PULL0)," &
	" 298 (BC_1, PAD202, input, X)," &
	" 299 (BC_1, *, internal, X)," &
	" 300 (BC_1, *, internal, X)," &
	" 301 (BC_1, *, internal, X)," &
	" 302 (BC_1, *, controlr, 1)," &
	" 303 (BC_1, PAD200, output3, X, 302, 1, PULL0)," &
	" 304 (BC_1, PAD200, input, X)," &
	" 305 (BC_1, *, internal, 1)," & -- PAD199.T
	" 306 (BC_1, *, internal, X)," & -- PAD199.O
	" 307 (BC_1, *, internal, X)," & -- PAD199.I
	" 308 (BC_1, *, controlr, 1)," &
	" 309 (BC_1, PAD198, output3, X, 308, 1, PULL0)," &
	" 310 (BC_1, PAD198, input, X)," &
	" 311 (BC_1, *, controlr, 1)," &
	" 312 (BC_1, PAD197, output3, X, 311, 1, PULL0)," &
	" 313 (BC_1, PAD197, input, X)," &
	" 314 (BC_1, *, controlr, 1)," &
	" 315 (BC_1, PAD196, output3, X, 314, 1, PULL0)," &
	" 316 (BC_1, PAD196, input, X)," &
	" 317 (BC_1, *, controlr, 1)," &
	" 318 (BC_1, PAD195, output3, X, 317, 1, PULL0)," &
	" 319 (BC_1, PAD195, input, X)," &
	" 320 (BC_1, *, internal, 1)," & -- PAD194.T
	" 321 (BC_1, *, internal, X)," & -- PAD194.O
	" 322 (BC_1, *, internal, X)," & -- PAD194.I
	" 323 (BC_1, *, controlr, 1)," &
	" 324 (BC_1, PAD193, output3, X, 323, 1, PULL0)," &
	" 325 (BC_1, PAD193, input, X)," &
	" 326 (BC_1, *, internal, 1)," & -- PAD192.T
	" 327 (BC_1, *, internal, X)," & -- PAD192.O
	" 328 (BC_1, *, internal, X)," & -- PAD192.I
	" 329 (BC_1, *, internal, X)," &
	" 330 (BC_1, *, internal, X)," &
	" 331 (BC_1, *, internal, X)," &
	" 332 (BC_1, *, controlr, 1)," &
	" 333 (BC_1, PAD190, output3, X, 332, 1, PULL0)," &
	" 334 (BC_1, PAD190, input, X)," &
	" 335 (BC_1, *, controlr, 1)," &
	" 336 (BC_1, PAD189, output3, X, 335, 1, PULL0)," &
	" 337 (BC_1, PAD189, input, X)," &
	" 338 (BC_1, *, controlr, 1)," &
	" 339 (BC_1, PAD188, output3, X, 338, 1, PULL0)," &
	" 340 (BC_1, PAD188, input, X)," &
	" 341 (BC_1, *, controlr, 1)," &
	" 342 (BC_1, PAD187, output3, X, 341, 1, PULL0)," &
	" 343 (BC_1, PAD187, input, X)," &
	" 344 (BC_1, *, internal, 1)," & -- PAD186.T
	" 345 (BC_1, *, internal, X)," & -- PAD186.O
	" 346 (BC_1, *, internal, X)," & -- PAD186.I
	" 347 (BC_1, *, controlr, 1)," &
	" 348 (BC_1, PAD185, output3, X, 347, 1, PULL0)," &
	" 349 (BC_1, PAD185, input, X)," &
	" 350 (BC_1, *, controlr, 1)," &
	" 351 (BC_1, PAD184, output3, X, 350, 1, PULL0)," &
	" 352 (BC_1, PAD184, input, X)," &
	" 353 (BC_1, *, internal, X)," &
	" 354 (BC_1, *, internal, X)," &
	" 355 (BC_1, *, internal, X)," &
	" 356 (BC_1, *, controlr, 1)," &
	" 357 (BC_1, PAD182, output3, X, 356, 1, PULL0)," &
	" 358 (BC_1, PAD182, input, X)," &
	" 359 (BC_1, *, controlr, 1)," &
	" 360 (BC_1, PAD181, output3, X, 359, 1, PULL0)," &
	" 361 (BC_1, PAD181, input, X)," &
	" 362 (BC_1, M1, input, X)," &
	" 363 (BC_1, M0, input, X)," &
	" 364 (BC_1, M2, input, X)," &
	" 365 (BC_1, *, controlr, 1)," &
	" 366 (BC_1, PAD180, output3, X, 365, 1, PULL0)," &
	" 367 (BC_1, PAD180, input, X)," &
	" 368 (BC_1, *, controlr, 1)," &
	" 369 (BC_1, PAD179, output3, X, 368, 1, PULL0)," &
	" 370 (BC_1, PAD179, input, X)," &
	" 371 (BC_1, *, internal, X)," &
	" 372 (BC_1, *, internal, X)," &
	" 373 (BC_1, *, internal, X)," &
	" 374 (BC_1, *, controlr, 1)," &
	" 375 (BC_1, PAD177, output3, X, 374, 1, PULL0)," &
	" 376 (BC_1, PAD177, input, X)," &
	" 377 (BC_1, *, controlr, 1)," &
	" 378 (BC_1, PAD176, output3, X, 377, 1, PULL0)," &
	" 379 (BC_1, PAD176, input, X)," &
	" 380 (BC_1, *, internal, 1)," & -- PAD175.T
	" 381 (BC_1, *, internal, X)," & -- PAD175.O
	" 382 (BC_1, *, internal, X)," & -- PAD175.I
	" 383 (BC_1, *, controlr, 1)," &
	" 384 (BC_1, PAD174, output3, X, 383, 1, PULL0)," &
	" 385 (BC_1, PAD174, input, X)," &
	" 386 (BC_1, *, controlr, 1)," &
	" 387 (BC_1, PAD173, output3, X, 386, 1, PULL0)," &
	" 388 (BC_1, PAD173, input, X)," &
	" 389 (BC_1, *, controlr, 1)," &
	" 390 (BC_1, PAD172, output3, X, 389, 1, PULL0)," &
	" 391 (BC_1, PAD172, input, X)," &
	" 392 (BC_1, *, controlr, 1)," &
	" 393 (BC_1, PAD171, output3, X, 392, 1, PULL0)," &
	" 394 (BC_1, PAD171, input, X)," &
	" 395 (BC_1, *, internal, X)," &
	" 396 (BC_1, *, internal, X)," &
	" 397 (BC_1, *, internal, X)," &
	" 398 (BC_1, *, internal, 1)," & -- PAD169.T
	" 399 (BC_1, *, internal, X)," & -- PAD169.O
	" 400 (BC_1, *, internal, X)," & -- PAD169.I
	" 401 (BC_1, *, controlr, 1)," &
	" 402 (BC_1, PAD168, output3, X, 401, 1, PULL0)," &
	" 403 (BC_1, PAD168, input, X)," &
	" 404 (BC_1, *, internal, 1)," & -- PAD167.T
	" 405 (BC_1, *, internal, X)," & -- PAD167.O
	" 406 (BC_1, *, internal, X)," & -- PAD167.I
	" 407 (BC_1, *, controlr, 1)," &
	" 408 (BC_1, PAD166, output3, X, 407, 1, PULL0)," &
	" 409 (BC_1, PAD166, input, X)," &
	" 410 (BC_1, *, controlr, 1)," &
	" 411 (BC_1, PAD165, output3, X, 410, 1, PULL0)," &
	" 412 (BC_1, PAD165, input, X)," &
	" 413 (BC_1, *, controlr, 1)," &
	" 414 (BC_1, PAD164, output3, X, 413, 1, PULL0)," &
	" 415 (BC_1, PAD164, input, X)," &
	" 416 (BC_1, *, controlr, 1)," &
	" 417 (BC_1, PAD163, output3, X, 416, 1, PULL0)," &
	" 418 (BC_1, PAD163, input, X)," &
	" 419 (BC_1, *, internal, 1)," & -- PAD162.T
	" 420 (BC_1, *, internal, X)," & -- PAD162.O
	" 421 (BC_1, *, internal, X)," & -- PAD162.I
	" 422 (BC_1, *, controlr, 1)," &
	" 423 (BC_1, PAD161, output3, X, 422, 1, PULL0)," &
	" 424 (BC_1, PAD161, input, X)," &
	" 425 (BC_1, *, internal, X)," &
	" 426 (BC_1, *, internal, X)," &
	" 427 (BC_1, *, internal, X)," &
	" 428 (BC_1, *, controlr, 1)," &
	" 429 (BC_1, PAD159, output3, X, 428, 1, PULL0)," &
	" 430 (BC_1, PAD159, input, X)," &
	" 431 (BC_1, *, controlr, 1)," &
	" 432 (BC_1, PAD158, output3, X, 431, 1, PULL0)," &
	" 433 (BC_1, PAD158, input, X)," &
	" 434 (BC_1, *, controlr, 1)," &
	" 435 (BC_1, PAD157, output3, X, 434, 1, PULL0)," &
	" 436 (BC_1, PAD157, input, X)," &
	" 437 (BC_1, *, controlr, 1)," &
	" 438 (BC_1, PAD156, output3, X, 437, 1, PULL0)," &
	" 439 (BC_1, PAD156, input, X)," &
	" 440 (BC_1, *, controlr, 1)," &
	" 441 (BC_1, PAD155, output3, X, 440, 1, PULL0)," &
	" 442 (BC_1, PAD155, input, X)," &
	" 443 (BC_1, *, controlr, 1)," &
	" 444 (BC_1, PAD154, output3, X, 443, 1, PULL0)," &
	" 445 (BC_1, PAD154, input, X)," &
	" 446 (BC_1, *, internal, X)," &
	" 447 (BC_1, *, internal, X)," &
	" 448 (BC_1, *, internal, X)," &
	" 449 (BC_1, *, internal, X)," &
	" 450 (BC_1, *, internal, X)," &
	" 451 (BC_1, *, internal, X)," &
	" 452 (BC_1, *, internal, X)," &
	" 453 (BC_1, *, internal, X)," &
	" 454 (BC_1, *, internal, X)," &
	" 455 (BC_1, GCLKPAD1, input, X)," &
	" 456 (BC_1, GCLKPAD0, input, X)," &
	" 457 (BC_1, *, internal, X)," &
	" 458 (BC_1, *, internal, X)," &
	" 459 (BC_1, *, internal, X)," &
	" 460 (BC_1, *, controlr, 1)," &
	" 461 (BC_1, PAD149, output3, X, 460, 1, PULL0)," &
	" 462 (BC_1, PAD149, input, X)," &
	" 463 (BC_1, *, internal, X)," &
	" 464 (BC_1, *, internal, X)," &
	" 465 (BC_1, *, internal, X)," &
	" 466 (BC_1, *, controlr, 1)," &
	" 467 (BC_1, PAD147, output3, X, 466, 1, PULL0)," &
	" 468 (BC_1, PAD147, input, X)," &
	" 469 (BC_1, *, controlr, 1)," &
	" 470 (BC_1, PAD146, output3, X, 469, 1, PULL0)," &
	" 471 (BC_1, PAD146, input, X)," &
	" 472 (BC_1, *, controlr, 1)," &
	" 473 (BC_1, PAD145, output3, X, 472, 1, PULL0)," &
	" 474 (BC_1, PAD145, input, X)," &
	" 475 (BC_1, *, controlr, 1)," &
	" 476 (BC_1, PAD144, output3, X, 475, 1, PULL0)," &
	" 477 (BC_1, PAD144, input, X)," &
	" 478 (BC_1, *, controlr, 1)," &
	" 479 (BC_1, PAD143, output3, X, 478, 1, PULL0)," &
	" 480 (BC_1, PAD143, input, X)," &
	" 481 (BC_1, *, controlr, 1)," &
	" 482 (BC_1, PAD142, output3, X, 481, 1, PULL0)," &
	" 483 (BC_1, PAD142, input, X)," &
	" 484 (BC_1, *, internal, X)," &
	" 485 (BC_1, *, internal, X)," &
	" 486 (BC_1, *, internal, X)," &
	" 487 (BC_1, *, controlr, 1)," &
	" 488 (BC_1, PAD140, output3, X, 487, 1, PULL0)," &
	" 489 (BC_1, PAD140, input, X)," &
	" 490 (BC_1, *, internal, 1)," & -- PAD139.T
	" 491 (BC_1, *, internal, X)," & -- PAD139.O
	" 492 (BC_1, *, internal, X)," & -- PAD139.I
	" 493 (BC_1, *, controlr, 1)," &
	" 494 (BC_1, PAD138, output3, X, 493, 1, PULL0)," &
	" 495 (BC_1, PAD138, input, X)," &
	" 496 (BC_1, *, controlr, 1)," &
	" 497 (BC_1, PAD137, output3, X, 496, 1, PULL0)," &
	" 498 (BC_1, PAD137, input, X)," &
	" 499 (BC_1, *, controlr, 1)," &
	" 500 (BC_1, PAD136, output3, X, 499, 1, PULL0)," &
	" 501 (BC_1, PAD136, input, X)," &
	" 502 (BC_1, *, controlr, 1)," &
	" 503 (BC_1, PAD135, output3, X, 502, 1, PULL0)," &
	" 504 (BC_1, PAD135, input, X)," &
	" 505 (BC_1, *, internal, 1)," & -- PAD134.T
	" 506 (BC_1, *, internal, X)," & -- PAD134.O
	" 507 (BC_1, *, internal, X)," & -- PAD134.I
	" 508 (BC_1, *, controlr, 1)," &
	" 509 (BC_1, PAD133, output3, X, 508, 1, PULL0)," &
	" 510 (BC_1, PAD133, input, X)," &
	" 511 (BC_1, *, internal, 1)," & -- PAD132.T
	" 512 (BC_1, *, internal, X)," & -- PAD132.O
	" 513 (BC_1, *, internal, X)," & -- PAD132.I
	" 514 (BC_1, *, internal, X)," &
	" 515 (BC_1, *, internal, X)," &
	" 516 (BC_1, *, internal, X)," &
	" 517 (BC_1, *, controlr, 1)," &
	" 518 (BC_1, PAD130, output3, X, 517, 1, PULL0)," &
	" 519 (BC_1, PAD130, input, X)," &
	" 520 (BC_1, *, controlr, 1)," &
	" 521 (BC_1, PAD129, output3, X, 520, 1, PULL0)," &
	" 522 (BC_1, PAD129, input, X)," &
	" 523 (BC_1, *, controlr, 1)," &
	" 524 (BC_1, PAD128, output3, X, 523, 1, PULL0)," &
	" 525 (BC_1, PAD128, input, X)," &
	" 526 (BC_1, *, controlr, 1)," &
	" 527 (BC_1, PAD127, output3, X, 526, 1, PULL0)," &
	" 528 (BC_1, PAD127, input, X)," &
	" 529 (BC_1, *, internal, 1)," & -- PAD126.T
	" 530 (BC_1, *, internal, X)," & -- PAD126.O
	" 531 (BC_1, *, internal, X)," & -- PAD126.I
	" 532 (BC_1, *, controlr, 1)," &
	" 533 (BC_1, PAD125, output3, X, 532, 1, PULL0)," &
	" 534 (BC_1, PAD125, input, X)," &
	" 535 (BC_1, *, controlr, 1)," &
	" 536 (BC_1, PAD124, output3, X, 535, 1, PULL0)," &
	" 537 (BC_1, PAD124, input, X)," &
	" 538 (BC_1, *, internal, X)," &
	" 539 (BC_1, *, internal, X)," &
	" 540 (BC_1, *, internal, X)," &
	" 541 (BC_1, *, controlr, 1)," &
	" 542 (BC_1, PAD122, output3, X, 541, 1, PULL0)," &
	" 543 (BC_1, PAD122, input, X)," &
	" 544 (BC_1, *, controlr, 1)," &
	" 545 (BC_1, PAD121, output3, X, 544, 1, PULL0)," &
	" 546 (BC_1, PAD121, input, X)," &
	" 547 (BC_1, *, controlr, 1)," &
	" 548 (BC_1, DONE, output3, X, 547, 1, PULL1)," &
	" 549 (BC_1, DONE, input, X)," &
	" 550 (BC_1, *, internal, 1)," & -- PROGRAM_B.I
	" 551 (BC_1, *, controlr, 1)," &
	" 552 (BC_1, INIT, output3, X, 551, 1, PULL1)," &
	" 553 (BC_1, INIT, input, X)," &
	" 554 (BC_1, *, controlr, 1)," &
	" 555 (BC_1, PAD119, output3, X, 554, 1, PULL0)," &
	" 556 (BC_1, PAD119, input, X)," &
	" 557 (BC_1, *, internal, X)," &
	" 558 (BC_1, *, internal, X)," &
	" 559 (BC_1, *, internal, X)," &
	" 560 (BC_1, *, controlr, 1)," &
	" 561 (BC_1, PAD117, output3, X, 560, 1, PULL0)," &
	" 562 (BC_1, PAD117, input, X)," &
	" 563 (BC_1, *, controlr, 1)," &
	" 564 (BC_1, PAD116, output3, X, 563, 1, PULL0)," &
	" 565 (BC_1, PAD116, input, X)," &
	" 566 (BC_1, *, internal, 1)," & -- PAD115.T
	" 567 (BC_1, *, internal, X)," & -- PAD115.O
	" 568 (BC_1, *, internal, X)," & -- PAD115.I
	" 569 (BC_1, *, controlr, 1)," &
	" 570 (BC_1, PAD114, output3, X, 569, 1, PULL0)," &
	" 571 (BC_1, PAD114, input, X)," &
	" 572 (BC_1, *, controlr, 1)," &
	" 573 (BC_1, PAD113, output3, X, 572, 1, PULL0)," &
	" 574 (BC_1, PAD113, input, X)," &
	" 575 (BC_1, *, controlr, 1)," &
	" 576 (BC_1, PAD112, output3, X, 575, 1, PULL0)," &
	" 577 (BC_1, PAD112, input, X)," &
	" 578 (BC_1, *, controlr, 1)," &
	" 579 (BC_1, PAD111, output3, X, 578, 1, PULL0)," &
	" 580 (BC_1, PAD111, input, X)," &
	" 581 (BC_1, *, internal, X)," &
	" 582 (BC_1, *, internal, X)," &
	" 583 (BC_1, *, internal, X)," &
	" 584 (BC_1, *, internal, 1)," & -- PAD109.T
	" 585 (BC_1, *, internal, X)," & -- PAD109.O
	" 586 (BC_1, *, internal, X)," & -- PAD109.I
	" 587 (BC_1, *, controlr, 1)," &
	" 588 (BC_1, PAD108, output3, X, 587, 1, PULL0)," &
	" 589 (BC_1, PAD108, input, X)," &
	" 590 (BC_1, *, internal, 1)," & -- PAD107.T
	" 591 (BC_1, *, internal, X)," & -- PAD107.O
	" 592 (BC_1, *, internal, X)," & -- PAD107.I
	" 593 (BC_1, *, controlr, 1)," &
	" 594 (BC_1, PAD106, output3, X, 593, 1, PULL0)," &
	" 595 (BC_1, PAD106, input, X)," &
	" 596 (BC_1, *, controlr, 1)," &
	" 597 (BC_1, PAD105, output3, X, 596, 1, PULL0)," &
	" 598 (BC_1, PAD105, input, X)," &
	" 599 (BC_1, *, controlr, 1)," &
	" 600 (BC_1, PAD104, output3, X, 599, 1, PULL0)," &
	" 601 (BC_1, PAD104, input, X)," &
	" 602 (BC_1, *, controlr, 1)," &
	" 603 (BC_1, PAD103, output3, X, 602, 1, PULL0)," &
	" 604 (BC_1, PAD103, input, X)," &
	" 605 (BC_1, *, internal, 1)," & -- PAD102.T
	" 606 (BC_1, *, internal, X)," & -- PAD102.O
	" 607 (BC_1, *, internal, X)," & -- PAD102.I
	" 608 (BC_1, *, controlr, 1)," &
	" 609 (BC_1, PAD101, output3, X, 608, 1, PULL0)," &
	" 610 (BC_1, PAD101, input, X)," &
	" 611 (BC_1, *, internal, X)," &
	" 612 (BC_1, *, internal, X)," &
	" 613 (BC_1, *, internal, X)," &
	" 614 (BC_1, *, controlr, 1)," &
	" 615 (BC_1, PAD99, output3, X, 614, 1, PULL0)," &
	" 616 (BC_1, PAD99, input, X)," &
	" 617 (BC_1, *, controlr, 1)," &
	" 618 (BC_1, PAD98, output3, X, 617, 1, PULL0)," &
	" 619 (BC_1, PAD98, input, X)," &
	" 620 (BC_1, *, controlr, 1)," &
	" 621 (BC_1, PAD97, output3, X, 620, 1, PULL0)," &
	" 622 (BC_1, PAD97, input, X)," &
	" 623 (BC_1, *, controlr, 1)," &
	" 624 (BC_1, PAD96, output3, X, 623, 1, PULL0)," &
	" 625 (BC_1, PAD96, input, X)," &
	" 626 (BC_1, *, controlr, 1)," &
	" 627 (BC_1, PAD95, output3, X, 626, 1, PULL0)," &
	" 628 (BC_1, PAD95, input, X)," &
	" 629 (BC_1, *, controlr, 1)," &
	" 630 (BC_1, PAD94, output3, X, 629, 1, PULL0)," &
	" 631 (BC_1, PAD94, input, X)," &
	" 632 (BC_1, *, internal, X)," &
	" 633 (BC_1, *, internal, X)," &
	" 634 (BC_1, *, internal, X)," &
	" 635 (BC_1, *, internal, X)," &
	" 636 (BC_1, *, internal, X)," &
	" 637 (BC_1, *, internal, X)," &
	" 638 (BC_1, *, controlr, 1)," &
	" 639 (BC_1, PAD91, output3, X, 638, 1, PULL0)," &
	" 640 (BC_1, PAD91, input, X)," &
	" 641 (BC_1, *, controlr, 1)," &
	" 642 (BC_1, PAD90, output3, X, 641, 1, PULL0)," &
	" 643 (BC_1, PAD90, input, X)," &
	" 644 (BC_1, *, controlr, 1)," &
	" 645 (BC_1, PAD89, output3, X, 644, 1, PULL0)," &
	" 646 (BC_1, PAD89, input, X)," &
	" 647 (BC_1, *, internal, X)," &
	" 648 (BC_1, *, internal, X)," &
	" 649 (BC_1, *, internal, X)," &
	" 650 (BC_1, *, controlr, 1)," &
	" 651 (BC_1, PAD87, output3, X, 650, 1, PULL0)," &
	" 652 (BC_1, PAD87, input, X)," &
	" 653 (BC_1, *, controlr, 1)," &
	" 654 (BC_1, PAD86, output3, X, 653, 1, PULL0)," &
	" 655 (BC_1, PAD86, input, X)," &
	" 656 (BC_1, *, controlr, 1)," &
	" 657 (BC_1, PAD85, output3, X, 656, 1, PULL0)," &
	" 658 (BC_1, PAD85, input, X)," &
	" 659 (BC_1, *, controlr, 1)," &
	" 660 (BC_1, PAD84, output3, X, 659, 1, PULL0)," &
	" 661 (BC_1, PAD84, input, X)," &
	" 662 (BC_1, *, controlr, 1)," &
	" 663 (BC_1, PAD83, output3, X, 662, 1, PULL0)," &
	" 664 (BC_1, PAD83, input, X)," &
	" 665 (BC_1, *, controlr, 1)," &
	" 666 (BC_1, PAD82, output3, X, 665, 1, PULL0)," &
	" 667 (BC_1, PAD82, input, X)," &
	" 668 (BC_1, *, internal, X)," &
	" 669 (BC_1, *, internal, X)," &
	" 670 (BC_1, *, internal, X)," &
	" 671 (BC_1, *, controlr, 1)," &
	" 672 (BC_1, PAD80, output3, X, 671, 1, PULL0)," &
	" 673 (BC_1, PAD80, input, X)," &
	" 674 (BC_1, *, internal, 1)," & -- PAD79.T
	" 675 (BC_1, *, internal, X)," & -- PAD79.O
	" 676 (BC_1, *, internal, X)," & -- PAD79.I
	" 677 (BC_1, *, controlr, 1)," &
	" 678 (BC_1, PAD78, output3, X, 677, 1, PULL0)," &
	" 679 (BC_1, PAD78, input, X)," &
	" 680 (BC_1, *, controlr, 1)," &
	" 681 (BC_1, PAD77, output3, X, 680, 1, PULL0)," &
	" 682 (BC_1, PAD77, input, X)," &
	" 683 (BC_1, *, controlr, 1)," &
	" 684 (BC_1, PAD76, output3, X, 683, 1, PULL0)," &
	" 685 (BC_1, PAD76, input, X)," &
	" 686 (BC_1, *, controlr, 1)," &
	" 687 (BC_1, PAD75, output3, X, 686, 1, PULL0)," &
	" 688 (BC_1, PAD75, input, X)," &
	" 689 (BC_1, *, internal, 1)," & -- PAD74.T
	" 690 (BC_1, *, internal, X)," & -- PAD74.O
	" 691 (BC_1, *, internal, X)," & -- PAD74.I
	" 692 (BC_1, *, controlr, 1)," &
	" 693 (BC_1, PAD73, output3, X, 692, 1, PULL0)," &
	" 694 (BC_1, PAD73, input, X)," &
	" 695 (BC_1, *, internal, 1)," & -- PAD72.T
	" 696 (BC_1, *, internal, X)," & -- PAD72.O
	" 697 (BC_1, *, internal, X)," & -- PAD72.I
	" 698 (BC_1, *, internal, X)," &
	" 699 (BC_1, *, internal, X)," &
	" 700 (BC_1, *, internal, X)," &
	" 701 (BC_1, *, controlr, 1)," &
	" 702 (BC_1, PAD70, output3, X, 701, 1, PULL0)," &
	" 703 (BC_1, PAD70, input, X)," &
	" 704 (BC_1, *, controlr, 1)," &
	" 705 (BC_1, PAD69, output3, X, 704, 1, PULL0)," &
	" 706 (BC_1, PAD69, input, X)," &
	" 707 (BC_1, *, controlr, 1)," &
	" 708 (BC_1, PAD68, output3, X, 707, 1, PULL0)," &
	" 709 (BC_1, PAD68, input, X)," &
	" 710 (BC_1, *, controlr, 1)," &
	" 711 (BC_1, PAD67, output3, X, 710, 1, PULL0)," &
	" 712 (BC_1, PAD67, input, X)," &
	" 713 (BC_1, *, internal, 1)," & -- PAD66.T
	" 714 (BC_1, *, internal, X)," & -- PAD66.O
	" 715 (BC_1, *, internal, X)," & -- PAD66.I
	" 716 (BC_1, *, controlr, 1)," &
	" 717 (BC_1, PAD65, output3, X, 716, 1, PULL0)," &
	" 718 (BC_1, PAD65, input, X)," &
	" 719 (BC_1, *, controlr, 1)," &
	" 720 (BC_1, PAD64, output3, X, 719, 1, PULL0)," &
	" 721 (BC_1, PAD64, input, X)," &
	" 722 (BC_1, *, internal, X)," &
	" 723 (BC_1, *, internal, X)," &
	" 724 (BC_1, *, internal, X)," &
	" 725 (BC_1, *, controlr, 1)," &
	" 726 (BC_1, PAD62, output3, X, 725, 1, PULL0)," &
	" 727 (BC_1, PAD62, input, X)," &
	" 728 (BC_1, *, controlr, 1)," &
	" 729 (BC_1, PAD61, output3, X, 728, 1, PULL0)," &
	" 730 (BC_1, PAD61, input, X)," &
	" 731 (BC_1, *, controlr, 1)," &
	" 732 (BC_1, CCLK, output3, X, 731, 1, PULL1)," &
	" 733 (BC_1, CCLK, input, X)";
--end boundary register

attribute DESIGN_WARNING of XCV100_PQ240 : entity is
	"This BSDL file must be modified by the FPGA designer in order to" &
		"reflect post-configuration behavior (if any)." &
	"The boundary scan test vectors must keep the PROGRAM_B pin" &
		"either 3-stated or driving high.  If the PROGRAM_B pin" &
		"is driven low through any means, the TAP controller" &
		"will reset." &
	"The output and tristate capture values are not valid until after" &
		"the device is configured." &
	"The tristate control is not captured properly when GTS is activated.";

end XCV100_PQ240;