BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XC2S30_CS144 latest version

--$ XILINX$RCSfile: xc2s30_cs144.bsd,v $
--$ XILINX$Revision: 1.1 $
--
-- BSDL file for device XC2S30, package CS144
-- Xilinx, Inc. $State: PRELIMINARY $ $Date: 2000-07-11 10:24:17-07 $
-- Generated by createBSDL 2.20
--
-- For technical support, contact Xilinx as follows:
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	(44) 1932 820821	ukhelp@xilinx.com
--	France		(33) 1 3463 0100	frhelp@xilinx.com
--	Germany		(49) 89 991 54930	dlhelp@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--

-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- The boundary scan test vectors must keep the PROGRAM pin either 3-stated
-- or driving high. If the PROGRAM pin is driven low through any means,
-- the TAP controller will reset.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an LVTTL
-- input buffer. In order to properly capture a logic high value driven from one
-- of these IOBs into an input boundary scan cell, VCCO must be
-- at least 2V (Vih for LVTTL).
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- The disable value of a 3-stated I/O is set to PULL0 - the proper value
-- for configuration modes without the pre-configuration
-- pullups (M2,M1,M0 set to 000, 101, 110, or 111). If not in one of
-- these modes, all PULL0's should be PULL1's. Additionally, when in
-- EXTEST updating the values for M2, M1, and M0 will directly affect
-- the existence of the pre-configuration pullup.
-- It is therefore recommended to shift in the same mode being driven
-- externally when relying upon the these values.



entity XC2S30_CS144 is

generic (PHYSICAL_PIN_MAP : string := "CS144" );

port (
	CCLK_B13: inout bit;
	DONE_M12: inout bit;
	GCK0_K7: in bit;
	GCK1_M7: in bit;
	GCK2_A7: in bit;
	GCK3_A6: in bit;
	GND: linkage bit_vector (1 to 16);
	INIT_L13: inout bit; --  PAD72
	M0_M1: in bit;
	M1_L2: in bit;
	M2_N2: in bit;
	PROGRAM: in bit;
	PWDNB: in bit;
	STATUSB: linkage bit;
	TCK: in bit;
	TDI: in bit;
	TDO: out bit;
	TMS: in bit;
	VCCINT: linkage bit_vector (1 to 8);
	VCCO01: linkage bit_vector (1 to 3);
	VCCO23: linkage bit_vector (1 to 3);
	VCCO45: linkage bit_vector (1 to 3);
	VCCO67: linkage bit_vector (1 to 3);
	IO_A3: inout bit; --  PAD3
	IO_A4: inout bit; --  PAD8
	IO_A5: inout bit; --  PAD10
	IO_A8: inout bit; --  PAD21
	IO_A10: inout bit; --  PAD32
	IO_B3: inout bit; --  PAD2
	IO_B4: inout bit; --  PAD7
	IO_B5: inout bit; --  PAD9
	IO_B7: inout bit; --  PAD20
	IO_B8: inout bit; --  PAD23
	IO_B10: inout bit; --  PAD34
	IO_C1: inout bit; --  PAD142
	IO_C2: inout bit; --  PAD143
	IO_C4: inout bit; --  PAD5
	IO_C6: inout bit; --  PAD16
	IO_C8: inout bit; --  PAD27
	IO_C9: inout bit; --  PAD29
	IO_C10: inout bit; --  PAD35
	IO_C11: inout bit; --  PAD37
	IO_C12: inout bit; --  PAD38
	IO_C13: inout bit; --  PAD39
	IO_D1: inout bit; --  PAD137
	IO_D2: inout bit; --  PAD138
	IO_D3: inout bit; --  PAD139
	IO_D4: inout bit; --  PAD140
	IO_D6: inout bit; --  PAD14
	IO_D8: inout bit; --  PAD28
	IO_D9: inout bit; --  PAD30
	IO_D10: inout bit; --  PAD36
	IO_D11: inout bit; --  PAD41
	IO_D12: inout bit; --  PAD42
	IO_D13: inout bit; --  PAD43
	IO_E1: inout bit; --  PAD131
	IO_E2: inout bit; --  PAD135
	IO_E3: inout bit; --  PAD136
	IO_E10: inout bit; --  PAD44
	IO_E12: inout bit; --  PAD45
	IO_E13: inout bit; --  PAD46
	IO_F2: inout bit; --  PAD127
	IO_F3: inout bit; --  PAD129
	IO_F4: inout bit; --  PAD130
	IO_F10: inout bit; --  PAD50
	IO_F11: inout bit; --  PAD51
	IO_F12: inout bit; --  PAD52
	IO_F13: inout bit; --  PAD54
	IO_G1: inout bit; --  PAD126
	IO_G4: inout bit; --  PAD124
	IO_G13: inout bit; --  PAD55
	IO_H1: inout bit; --  PAD123
	IO_H2: inout bit; --  PAD122
	IO_H3: inout bit; --  PAD118
	IO_H4: inout bit; --  PAD117
	IO_H10: inout bit; --  PAD63
	IO_H11: inout bit; --  PAD59
	IO_H12: inout bit; --  PAD58
	IO_H13: inout bit; --  PAD57
	IO_J2: inout bit; --  PAD116
	IO_J3: inout bit; --  PAD115
	IO_J4: inout bit; --  PAD114
	IO_J10: inout bit; --  PAD66
	IO_J11: inout bit; --  PAD65
	IO_J13: inout bit; --  PAD64
	IO_K1: inout bit; --  PAD113
	IO_K2: inout bit; --  PAD111
	IO_K3: inout bit; --  PAD110
	IO_K4: inout bit; --  PAD106
	IO_K5: inout bit; --  PAD101
	IO_K6: inout bit; --  PAD99
	IO_K8: inout bit; --  PAD82
	IO_K9: inout bit; --  PAD80
	IO_K10: inout bit; --  PAD71
	IO_K11: inout bit; --  PAD70
	IO_K12: inout bit; --  PAD68
	IO_K13: inout bit; --  PAD67
	IO_L1: inout bit; --  PAD109
	IO_L4: inout bit; --  PAD104
	IO_L6: inout bit; --  PAD95
	IO_L8: inout bit; --  PAD86
	IO_L10: inout bit; --  PAD77
	IO_L11: inout bit; --  PAD73
	IO_M4: inout bit; --  PAD103
	IO_M6: inout bit; --  PAD93
	IO_M8: inout bit; --  PAD88
	IO_M10: inout bit; --  PAD78
	IO_M11: inout bit; --  PAD74
	IO_N4: inout bit; --  PAD102
	IO_N5: inout bit; --  PAD100
	IO_N8: inout bit; --  PAD89
	IO_N9: inout bit; --  PAD81
	IO_N10: inout bit; --  PAD79
	IO_N11: inout bit --  PAD75
); --end port list

use STD_1149_1_1994.all;

attribute COMPONENT_CONFORMANCE of XC2S30_CS144 : entity is
	"STD_1149_1_1993";

attribute PIN_MAP of XC2S30_CS144 : entity is PHYSICAL_PIN_MAP;

constant CS144: PIN_MAP_STRING:=
	"CCLK_B13:B13," &
	"DONE_M12:M12," &
	"GCK0_K7:K7," &
	"GCK1_M7:M7," &
	"GCK2_A7:A7," &
	"GCK3_A6:A6," &
	"GND:(A1,B9,B11,C7,D5,E4,E11,F1,G10,J1," &
		"J12,L3,L5,L7,L9,N12)," &
	"INIT_L13:L13," &
	"M0_M1:M1," &
	"M1_L2:L2," &
	"M2_N2:N2," &
	"PROGRAM:L12," &
	"PWDNB:M3," &
	"STATUSB:N3," &
	"TCK:C3," &
	"TDI:A11," &
	"TDO:A12," &
	"TMS:B1," &
	"VCCINT:(A9,B6,C5,G3,G12,M5,M9,N6)," &
	"VCCO01:(A2,A13,D7)," &
	"VCCO23:(B12,G11,M13)," &
	"VCCO45:(N1,N7,N13)," &
	"VCCO67:(B2,G2,M2)," &
	"IO_A3:A3," &
	"IO_A4:A4," &
	"IO_A5:A5," &
	"IO_A8:A8," &
	"IO_A10:A10," &
	"IO_B3:B3," &
	"IO_B4:B4," &
	"IO_B5:B5," &
	"IO_B7:B7," &
	"IO_B8:B8," &
	"IO_B10:B10," &
	"IO_C1:C1," &
	"IO_C2:C2," &
	"IO_C4:C4," &
	"IO_C6:C6," &
	"IO_C8:C8," &
	"IO_C9:C9," &
	"IO_C10:C10," &
	"IO_C11:C11," &
	"IO_C12:C12," &
	"IO_C13:C13," &
	"IO_D1:D1," &
	"IO_D2:D2," &
	"IO_D3:D3," &
	"IO_D4:D4," &
	"IO_D6:D6," &
	"IO_D8:D8," &
	"IO_D9:D9," &
	"IO_D10:D10," &
	"IO_D11:D11," &
	"IO_D12:D12," &
	"IO_D13:D13," &
	"IO_E1:E1," &
	"IO_E2:E2," &
	"IO_E3:E3," &
	"IO_E10:E10," &
	"IO_E12:E12," &
	"IO_E13:E13," &
	"IO_F2:F2," &
	"IO_F3:F3," &
	"IO_F4:F4," &
	"IO_F10:F10," &
	"IO_F11:F11," &
	"IO_F12:F12," &
	"IO_F13:F13," &
	"IO_G1:G1," &
	"IO_G4:G4," &
	"IO_G13:G13," &
	"IO_H1:H1," &
	"IO_H2:H2," &
	"IO_H3:H3," &
	"IO_H4:H4," &
	"IO_H10:H10," &
	"IO_H11:H11," &
	"IO_H12:H12," &
	"IO_H13:H13," &
	"IO_J2:J2," &
	"IO_J3:J3," &
	"IO_J4:J4," &
	"IO_J10:J10," &
	"IO_J11:J11," &
	"IO_J13:J13," &
	"IO_K1:K1," &
	"IO_K2:K2," &
	"IO_K3:K3," &
	"IO_K4:K4," &
	"IO_K5:K5," &
	"IO_K6:K6," &
	"IO_K8:K8," &
	"IO_K9:K9," &
	"IO_K10:K10," &
	"IO_K11:K11," &
	"IO_K12:K12," &
	"IO_K13:K13," &
	"IO_L1:L1," &
	"IO_L4:L4," &
	"IO_L6:L6," &
	"IO_L8:L8," &
	"IO_L10:L10," &
	"IO_L11:L11," &
	"IO_M4:M4," &
	"IO_M6:M6," &
	"IO_M8:M8," &
	"IO_M10:M10," &
	"IO_M11:M11," &
	"IO_N4:N4," &
	"IO_N5:N5," &
	"IO_N8:N8," &
	"IO_N9:N9," &
	"IO_N10:N10," &
	"IO_N11:N11";


attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;


attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);



attribute COMPLIANCE_PATTERNS of XC2S30_CS144 : entity is
        "(PROGRAM, PWDNB) (11)";

attribute INSTRUCTION_LENGTH of XC2S30_CS144 : entity is 5;

attribute INSTRUCTION_OPCODE of XC2S30_CS144 : entity is
	"SAMPLE (00001)," &
	"INTEST (00111)," &
	"USERCODE (01000)," &
	"IDCODE (01001)," &
	"HIGHZ (01010)," &
	"JSTART (01100)," & -- Not available during configuration with another mode.
	"RESERVED (00110)," &
	"CFG_OUT (00100)," & -- Not available during configuration with another mode.
	"CFG_IN (00101)," & -- Not available during configuration with another mode.
	"USER2 (00011)," & -- Not available until after configuration
	"USER1 (00010)," & -- Not available until after configuration
	"EXTEST (00000)," &
	"BYPASS (11111)";


attribute INSTRUCTION_CAPTURE of XC2S30_CS144 : entity is "XXX01";
-- Bit 4 of instruction capture is PROGRAM. Bit 3 is INIT.  Bit 2 is DONE.

  
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
 

 
attribute INSTRUCTION_PRIVATE of XC2S30_CS144 : entity is
	"USER1," &
	"USER2," &
	"JSTART," &
	"CFG_IN," &
	"RESERVED," &
	"CFG_OUT";

 

 
attribute IDCODE_REGISTER of XC2S30_CS144 : entity is
	"XXXX" &	-- version
	"0000011" &	-- family
	"000001100" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1

 

 
attribute USERCODE_REGISTER of XC2S30_CS144 : entity is
	"XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
 

 
attribute REGISTER_ACCESS of XC2S30_CS144 : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
	"BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,INTEST,EXTEST)";

 

attribute BOUNDARY_LENGTH of XC2S30_CS144 : entity is 446;

attribute BOUNDARY_REGISTER of XC2S30_CS144 : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_1, *, controlr, 1)," &
	"   1 (BC_1, IO_D10, output3, X, 0, 1, PULL0)," & --  PAD36
	"   2 (BC_1, IO_D10, input, X)," & --  PAD36
	"   3 (BC_1, *, controlr, 1)," &
	"   4 (BC_1, IO_C10, output3, X, 3, 1, PULL0)," & --  PAD35
	"   5 (BC_1, IO_C10, input, X)," & --  PAD35
	"   6 (BC_1, *, controlr, 1)," &
	"   7 (BC_1, IO_B10, output3, X, 6, 1, PULL0)," & --  PAD34
	"   8 (BC_1, IO_B10, input, X)," & --  PAD34
	"   9 (BC_1, *, internal, 1)," & -- PAD33.T
	"  10 (BC_1, *, internal, X)," & -- PAD33.O
	"  11 (BC_1, *, internal, X)," & -- PAD33.I
	"  12 (BC_1, *, controlr, 1)," &
	"  13 (BC_1, IO_A10, output3, X, 12, 1, PULL0)," & --  PAD32
	"  14 (BC_1, IO_A10, input, X)," & --  PAD32
	"  15 (BC_1, *, internal, 1)," & -- PAD31.T
	"  16 (BC_1, *, internal, X)," & -- PAD31.O
	"  17 (BC_1, *, internal, X)," & -- PAD31.I
	"  18 (BC_1, *, controlr, 1)," &
	"  19 (BC_1, IO_D9, output3, X, 18, 1, PULL0)," & --  PAD30
	"  20 (BC_1, IO_D9, input, X)," & --  PAD30
	"  21 (BC_1, *, controlr, 1)," &
	"  22 (BC_1, IO_C9, output3, X, 21, 1, PULL0)," & --  PAD29
	"  23 (BC_1, IO_C9, input, X)," & --  PAD29
	"  24 (BC_1, *, controlr, 1)," &
	"  25 (BC_1, IO_D8, output3, X, 24, 1, PULL0)," & --  PAD28
	"  26 (BC_1, IO_D8, input, X)," & --  PAD28
	"  27 (BC_1, *, controlr, 1)," &
	"  28 (BC_1, IO_C8, output3, X, 27, 1, PULL0)," & --  PAD27
	"  29 (BC_1, IO_C8, input, X)," & --  PAD27
	"  30 (BC_1, *, internal, 1)," & -- PAD26.T
	"  31 (BC_1, *, internal, X)," & -- PAD26.O
	"  32 (BC_1, *, internal, X)," & -- PAD26.I
	"  33 (BC_1, *, internal, 1)," & -- PAD25.T
	"  34 (BC_1, *, internal, X)," & -- PAD25.O
	"  35 (BC_1, *, internal, X)," & -- PAD25.I
	"  36 (BC_1, *, internal, 1)," & -- PAD24.T
	"  37 (BC_1, *, internal, X)," & -- PAD24.O
	"  38 (BC_1, *, internal, X)," & -- PAD24.I
	"  39 (BC_1, *, controlr, 1)," &
	"  40 (BC_1, IO_B8, output3, X, 39, 1, PULL0)," & --  PAD23
	"  41 (BC_1, IO_B8, input, X)," & --  PAD23
	"  42 (BC_1, *, internal, 1)," & -- PAD22.T
	"  43 (BC_1, *, internal, X)," & -- PAD22.O
	"  44 (BC_1, *, internal, X)," & -- PAD22.I
	"  45 (BC_1, *, controlr, 1)," &
	"  46 (BC_1, IO_A8, output3, X, 45, 1, PULL0)," & --  PAD21
	"  47 (BC_1, IO_A8, input, X)," & --  PAD21
	"  48 (BC_1, *, controlr, 1)," &
	"  49 (BC_1, IO_B7, output3, X, 48, 1, PULL0)," & --  PAD20
	"  50 (BC_1, IO_B7, input, X)," & --  PAD20
	"  51 (BC_1, *, internal, 1)," & -- PAD19.T
	"  52 (BC_1, *, internal, X)," & -- PAD19.O
	"  53 (BC_1, *, internal, X)," & -- PAD19.I
	"  54 (BC_1, GCK2_A7, input, X)," &
	"  55 (BC_1, GCK3_A6, input, X)," &
	"  56 (BC_1, *, internal, 1)," & -- PAD18.T
	"  57 (BC_1, *, internal, X)," & -- PAD18.O
	"  58 (BC_1, *, internal, X)," & -- PAD18.I
	"  59 (BC_1, *, internal, 1)," & -- PAD17.T
	"  60 (BC_1, *, internal, X)," & -- PAD17.O
	"  61 (BC_1, *, internal, X)," & -- PAD17.I
	"  62 (BC_1, *, controlr, 1)," &
	"  63 (BC_1, IO_C6, output3, X, 62, 1, PULL0)," & --  PAD16
	"  64 (BC_1, IO_C6, input, X)," & --  PAD16
	"  65 (BC_1, *, internal, 1)," & -- PAD15.T
	"  66 (BC_1, *, internal, X)," & -- PAD15.O
	"  67 (BC_1, *, internal, X)," & -- PAD15.I
	"  68 (BC_1, *, controlr, 1)," &
	"  69 (BC_1, IO_D6, output3, X, 68, 1, PULL0)," & --  PAD14
	"  70 (BC_1, IO_D6, input, X)," & --  PAD14
	"  71 (BC_1, *, internal, 1)," & -- PAD13.T
	"  72 (BC_1, *, internal, X)," & -- PAD13.O
	"  73 (BC_1, *, internal, X)," & -- PAD13.I
	"  74 (BC_1, *, internal, 1)," & -- PAD12.T
	"  75 (BC_1, *, internal, X)," & -- PAD12.O
	"  76 (BC_1, *, internal, X)," & -- PAD12.I
	"  77 (BC_1, *, internal, 1)," & -- PAD11.T
	"  78 (BC_1, *, internal, X)," & -- PAD11.O
	"  79 (BC_1, *, internal, X)," & -- PAD11.I
	"  80 (BC_1, *, controlr, 1)," &
	"  81 (BC_1, IO_A5, output3, X, 80, 1, PULL0)," & --  PAD10
	"  82 (BC_1, IO_A5, input, X)," & --  PAD10
	"  83 (BC_1, *, controlr, 1)," &
	"  84 (BC_1, IO_B5, output3, X, 83, 1, PULL0)," & --  PAD9
	"  85 (BC_1, IO_B5, input, X)," & --  PAD9
	"  86 (BC_1, *, controlr, 1)," &
	"  87 (BC_1, IO_A4, output3, X, 86, 1, PULL0)," & --  PAD8
	"  88 (BC_1, IO_A4, input, X)," & --  PAD8
	"  89 (BC_1, *, controlr, 1)," &
	"  90 (BC_1, IO_B4, output3, X, 89, 1, PULL0)," & --  PAD7
	"  91 (BC_1, IO_B4, input, X)," & --  PAD7
	"  92 (BC_1, *, internal, 1)," & -- PAD6.T
	"  93 (BC_1, *, internal, X)," & -- PAD6.O
	"  94 (BC_1, *, internal, X)," & -- PAD6.I
	"  95 (BC_1, *, controlr, 1)," &
	"  96 (BC_1, IO_C4, output3, X, 95, 1, PULL0)," & --  PAD5
	"  97 (BC_1, IO_C4, input, X)," & --  PAD5
	"  98 (BC_1, *, internal, 1)," & -- PAD4.T
	"  99 (BC_1, *, internal, X)," & -- PAD4.O
	" 100 (BC_1, *, internal, X)," & -- PAD4.I
	" 101 (BC_1, *, controlr, 1)," &
	" 102 (BC_1, IO_A3, output3, X, 101, 1, PULL0)," & --  PAD3
	" 103 (BC_1, IO_A3, input, X)," & --  PAD3
	" 104 (BC_1, *, controlr, 1)," &
	" 105 (BC_1, IO_B3, output3, X, 104, 1, PULL0)," & --  PAD2
	" 106 (BC_1, IO_B3, input, X)," & --  PAD2
	" 107 (BC_1, *, internal, 1)," & -- PAD1.T
	" 108 (BC_1, *, internal, X)," & -- PAD1.O
	" 109 (BC_1, *, internal, X)," & -- PAD1.I
	" 110 (BC_1, *, internal, 1)," & -- PAD144.T
	" 111 (BC_1, *, internal, X)," & -- PAD144.O
	" 112 (BC_1, *, internal, X)," & -- PAD144.I
	" 113 (BC_1, *, controlr, 1)," &
	" 114 (BC_1, IO_C2, output3, X, 113, 1, PULL0)," & --  PAD143
	" 115 (BC_1, IO_C2, input, X)," & --  PAD143
	" 116 (BC_1, *, controlr, 1)," &
	" 117 (BC_1, IO_C1, output3, X, 116, 1, PULL0)," & --  PAD142
	" 118 (BC_1, IO_C1, input, X)," & --  PAD142
	" 119 (BC_1, *, internal, 1)," & -- PAD141.T
	" 120 (BC_1, *, internal, X)," & -- PAD141.O
	" 121 (BC_1, *, internal, X)," & -- PAD141.I
	" 122 (BC_1, *, controlr, 1)," &
	" 123 (BC_1, IO_D4, output3, X, 122, 1, PULL0)," & --  PAD140
	" 124 (BC_1, IO_D4, input, X)," & --  PAD140
	" 125 (BC_1, *, controlr, 1)," &
	" 126 (BC_1, IO_D3, output3, X, 125, 1, PULL0)," & --  PAD139
	" 127 (BC_1, IO_D3, input, X)," & --  PAD139
	" 128 (BC_1, *, controlr, 1)," &
	" 129 (BC_1, IO_D2, output3, X, 128, 1, PULL0)," & --  PAD138
	" 130 (BC_1, IO_D2, input, X)," & --  PAD138
	" 131 (BC_1, *, controlr, 1)," &
	" 132 (BC_1, IO_D1, output3, X, 131, 1, PULL0)," & --  PAD137
	" 133 (BC_1, IO_D1, input, X)," & --  PAD137
	" 134 (BC_1, *, controlr, 1)," &
	" 135 (BC_1, IO_E3, output3, X, 134, 1, PULL0)," & --  PAD136
	" 136 (BC_1, IO_E3, input, X)," & --  PAD136
	" 137 (BC_1, *, controlr, 1)," &
	" 138 (BC_1, IO_E2, output3, X, 137, 1, PULL0)," & --  PAD135
	" 139 (BC_1, IO_E2, input, X)," & --  PAD135
	" 140 (BC_1, *, internal, 1)," & -- PAD134.T
	" 141 (BC_1, *, internal, X)," & -- PAD134.O
	" 142 (BC_1, *, internal, X)," & -- PAD134.I
	" 143 (BC_1, *, internal, 1)," & -- PAD133.T
	" 144 (BC_1, *, internal, X)," & -- PAD133.O
	" 145 (BC_1, *, internal, X)," & -- PAD133.I
	" 146 (BC_1, *, internal, 1)," & -- PAD132.T
	" 147 (BC_1, *, internal, X)," & -- PAD132.O
	" 148 (BC_1, *, internal, X)," & -- PAD132.I
	" 149 (BC_1, *, controlr, 1)," &
	" 150 (BC_1, IO_E1, output3, X, 149, 1, PULL0)," & --  PAD131
	" 151 (BC_1, IO_E1, input, X)," & --  PAD131
	" 152 (BC_1, *, controlr, 1)," &
	" 153 (BC_1, IO_F4, output3, X, 152, 1, PULL0)," & --  PAD130
	" 154 (BC_1, IO_F4, input, X)," & --  PAD130
	" 155 (BC_1, *, controlr, 1)," &
	" 156 (BC_1, IO_F3, output3, X, 155, 1, PULL0)," & --  PAD129
	" 157 (BC_1, IO_F3, input, X)," & --  PAD129
	" 158 (BC_1, *, internal, 1)," & -- PAD128.T
	" 159 (BC_1, *, internal, X)," & -- PAD128.O
	" 160 (BC_1, *, internal, X)," & -- PAD128.I
	" 161 (BC_1, *, controlr, 1)," &
	" 162 (BC_1, IO_F2, output3, X, 161, 1, PULL0)," & --  PAD127
	" 163 (BC_1, IO_F2, input, X)," & --  PAD127
	" 164 (BC_1, *, controlr, 1)," &
	" 165 (BC_1, IO_G1, output3, X, 164, 1, PULL0)," & --  PAD126
	" 166 (BC_1, IO_G1, input, X)," & --  PAD126
	" 167 (BC_1, *, internal, 1)," & -- PAD125.T
	" 168 (BC_1, *, internal, X)," & -- PAD125.O
	" 169 (BC_1, *, internal, X)," & -- PAD125.I
	" 170 (BC_1, *, controlr, 1)," &
	" 171 (BC_1, IO_G4, output3, X, 170, 1, PULL0)," & --  PAD124
	" 172 (BC_1, IO_G4, input, X)," & --  PAD124
	" 173 (BC_1, *, controlr, 1)," &
	" 174 (BC_1, IO_H1, output3, X, 173, 1, PULL0)," & --  PAD123
	" 175 (BC_1, IO_H1, input, X)," & --  PAD123
	" 176 (BC_1, *, controlr, 1)," &
	" 177 (BC_1, IO_H2, output3, X, 176, 1, PULL0)," & --  PAD122
	" 178 (BC_1, IO_H2, input, X)," & --  PAD122
	" 179 (BC_1, *, internal, 1)," & -- PAD121.T
	" 180 (BC_1, *, internal, X)," & -- PAD121.O
	" 181 (BC_1, *, internal, X)," & -- PAD121.I
	" 182 (BC_1, *, internal, 1)," & -- PAD120.T
	" 183 (BC_1, *, internal, X)," & -- PAD120.O
	" 184 (BC_1, *, internal, X)," & -- PAD120.I
	" 185 (BC_1, *, internal, 1)," & -- PAD119.T
	" 186 (BC_1, *, internal, X)," & -- PAD119.O
	" 187 (BC_1, *, internal, X)," & -- PAD119.I
	" 188 (BC_1, *, controlr, 1)," &
	" 189 (BC_1, IO_H3, output3, X, 188, 1, PULL0)," & --  PAD118
	" 190 (BC_1, IO_H3, input, X)," & --  PAD118
	" 191 (BC_1, *, controlr, 1)," &
	" 192 (BC_1, IO_H4, output3, X, 191, 1, PULL0)," & --  PAD117
	" 193 (BC_1, IO_H4, input, X)," & --  PAD117
	" 194 (BC_1, *, controlr, 1)," &
	" 195 (BC_1, IO_J2, output3, X, 194, 1, PULL0)," & --  PAD116
	" 196 (BC_1, IO_J2, input, X)," & --  PAD116
	" 197 (BC_1, *, controlr, 1)," &
	" 198 (BC_1, IO_J3, output3, X, 197, 1, PULL0)," & --  PAD115
	" 199 (BC_1, IO_J3, input, X)," & --  PAD115
	" 200 (BC_1, *, controlr, 1)," &
	" 201 (BC_1, IO_J4, output3, X, 200, 1, PULL0)," & --  PAD114
	" 202 (BC_1, IO_J4, input, X)," & --  PAD114
	" 203 (BC_1, *, controlr, 1)," &
	" 204 (BC_1, IO_K1, output3, X, 203, 1, PULL0)," & --  PAD113
	" 205 (BC_1, IO_K1, input, X)," & --  PAD113
	" 206 (BC_1, *, internal, 1)," & -- PAD112.T
	" 207 (BC_1, *, internal, X)," & -- PAD112.O
	" 208 (BC_1, *, internal, X)," & -- PAD112.I
	" 209 (BC_1, *, controlr, 1)," &
	" 210 (BC_1, IO_K2, output3, X, 209, 1, PULL0)," & --  PAD111
	" 211 (BC_1, IO_K2, input, X)," & --  PAD111
	" 212 (BC_1, *, controlr, 1)," &
	" 213 (BC_1, IO_K3, output3, X, 212, 1, PULL0)," & --  PAD110
	" 214 (BC_1, IO_K3, input, X)," & --  PAD110
	" 215 (BC_1, *, controlr, 1)," &
	" 216 (BC_1, IO_L1, output3, X, 215, 1, PULL0)," & --  PAD109
	" 217 (BC_1, IO_L1, input, X)," & --  PAD109
	" 218 (BC_1, M1_L2, input, X)," &
	" 219 (BC_1, M0_M1, input, X)," &
	" 220 (BC_1, M2_N2, input, X)," &
	" 221 (BC_1, *, internal, 1)," & -- PAD108.T
	" 222 (BC_1, *, internal, X)," & -- PAD108.O
	" 223 (BC_1, *, internal, X)," & -- PAD108.I
	" 224 (BC_1, *, internal, 1)," & -- PAD107.T
	" 225 (BC_1, *, internal, X)," & -- PAD107.O
	" 226 (BC_1, *, internal, X)," & -- PAD107.I
	" 227 (BC_1, *, controlr, 1)," &
	" 228 (BC_1, IO_K4, output3, X, 227, 1, PULL0)," & --  PAD106
	" 229 (BC_1, IO_K4, input, X)," & --  PAD106
	" 230 (BC_1, *, internal, 1)," & -- PAD105.T
	" 231 (BC_1, *, internal, X)," & -- PAD105.O
	" 232 (BC_1, *, internal, X)," & -- PAD105.I
	" 233 (BC_1, *, controlr, 1)," &
	" 234 (BC_1, IO_L4, output3, X, 233, 1, PULL0)," & --  PAD104
	" 235 (BC_1, IO_L4, input, X)," & --  PAD104
	" 236 (BC_1, *, controlr, 1)," &
	" 237 (BC_1, IO_M4, output3, X, 236, 1, PULL0)," & --  PAD103
	" 238 (BC_1, IO_M4, input, X)," & --  PAD103
	" 239 (BC_1, *, controlr, 1)," &
	" 240 (BC_1, IO_N4, output3, X, 239, 1, PULL0)," & --  PAD102
	" 241 (BC_1, IO_N4, input, X)," & --  PAD102
	" 242 (BC_1, *, controlr, 1)," &
	" 243 (BC_1, IO_K5, output3, X, 242, 1, PULL0)," & --  PAD101
	" 244 (BC_1, IO_K5, input, X)," & --  PAD101
	" 245 (BC_1, *, controlr, 1)," &
	" 246 (BC_1, IO_N5, output3, X, 245, 1, PULL0)," & --  PAD100
	" 247 (BC_1, IO_N5, input, X)," & --  PAD100
	" 248 (BC_1, *, controlr, 1)," &
	" 249 (BC_1, IO_K6, output3, X, 248, 1, PULL0)," & --  PAD99
	" 250 (BC_1, IO_K6, input, X)," & --  PAD99
	" 251 (BC_1, *, internal, 1)," & -- PAD98.T
	" 252 (BC_1, *, internal, X)," & -- PAD98.O
	" 253 (BC_1, *, internal, X)," & -- PAD98.I
	" 254 (BC_1, *, internal, 1)," & -- PAD97.T
	" 255 (BC_1, *, internal, X)," & -- PAD97.O
	" 256 (BC_1, *, internal, X)," & -- PAD97.I
	" 257 (BC_1, *, internal, 1)," & -- PAD96.T
	" 258 (BC_1, *, internal, X)," & -- PAD96.O
	" 259 (BC_1, *, internal, X)," & -- PAD96.I
	" 260 (BC_1, *, controlr, 1)," &
	" 261 (BC_1, IO_L6, output3, X, 260, 1, PULL0)," & --  PAD95
	" 262 (BC_1, IO_L6, input, X)," & --  PAD95
	" 263 (BC_1, *, internal, 1)," & -- PAD94.T
	" 264 (BC_1, *, internal, X)," & -- PAD94.O
	" 265 (BC_1, *, internal, X)," & -- PAD94.I
	" 266 (BC_1, *, controlr, 1)," &
	" 267 (BC_1, IO_M6, output3, X, 266, 1, PULL0)," & --  PAD93
	" 268 (BC_1, IO_M6, input, X)," & --  PAD93
	" 269 (BC_1, *, internal, 1)," & -- PAD92.T
	" 270 (BC_1, *, internal, X)," & -- PAD92.O
	" 271 (BC_1, *, internal, X)," & -- PAD92.I
	" 272 (BC_1, *, internal, 1)," & -- PAD91.T
	" 273 (BC_1, *, internal, X)," & -- PAD91.O
	" 274 (BC_1, *, internal, X)," & -- PAD91.I
	" 275 (BC_1, GCK1_M7, input, X)," &
	" 276 (BC_1, GCK0_K7, input, X)," &
	" 277 (BC_1, *, internal, 1)," & -- PAD90.T
	" 278 (BC_1, *, internal, X)," & -- PAD90.O
	" 279 (BC_1, *, internal, X)," & -- PAD90.I
	" 280 (BC_1, *, controlr, 1)," &
	" 281 (BC_1, IO_N8, output3, X, 280, 1, PULL0)," & --  PAD89
	" 282 (BC_1, IO_N8, input, X)," & --  PAD89
	" 283 (BC_1, *, controlr, 1)," &
	" 284 (BC_1, IO_M8, output3, X, 283, 1, PULL0)," & --  PAD88
	" 285 (BC_1, IO_M8, input, X)," & --  PAD88
	" 286 (BC_1, *, internal, 1)," & -- PAD87.T
	" 287 (BC_1, *, internal, X)," & -- PAD87.O
	" 288 (BC_1, *, internal, X)," & -- PAD87.I
	" 289 (BC_1, *, controlr, 1)," &
	" 290 (BC_1, IO_L8, output3, X, 289, 1, PULL0)," & --  PAD86
	" 291 (BC_1, IO_L8, input, X)," & --  PAD86
	" 292 (BC_1, *, internal, 1)," & -- PAD85.T
	" 293 (BC_1, *, internal, X)," & -- PAD85.O
	" 294 (BC_1, *, internal, X)," & -- PAD85.I
	" 295 (BC_1, *, internal, 1)," & -- PAD84.T
	" 296 (BC_1, *, internal, X)," & -- PAD84.O
	" 297 (BC_1, *, internal, X)," & -- PAD84.I
	" 298 (BC_1, *, internal, 1)," & -- PAD83.T
	" 299 (BC_1, *, internal, X)," & -- PAD83.O
	" 300 (BC_1, *, internal, X)," & -- PAD83.I
	" 301 (BC_1, *, controlr, 1)," &
	" 302 (BC_1, IO_K8, output3, X, 301, 1, PULL0)," & --  PAD82
	" 303 (BC_1, IO_K8, input, X)," & --  PAD82
	" 304 (BC_1, *, controlr, 1)," &
	" 305 (BC_1, IO_N9, output3, X, 304, 1, PULL0)," & --  PAD81
	" 306 (BC_1, IO_N9, input, X)," & --  PAD81
	" 307 (BC_1, *, controlr, 1)," &
	" 308 (BC_1, IO_K9, output3, X, 307, 1, PULL0)," & --  PAD80
	" 309 (BC_1, IO_K9, input, X)," & --  PAD80
	" 310 (BC_1, *, controlr, 1)," &
	" 311 (BC_1, IO_N10, output3, X, 310, 1, PULL0)," & --  PAD79
	" 312 (BC_1, IO_N10, input, X)," & --  PAD79
	" 313 (BC_1, *, controlr, 1)," &
	" 314 (BC_1, IO_M10, output3, X, 313, 1, PULL0)," & --  PAD78
	" 315 (BC_1, IO_M10, input, X)," & --  PAD78
	" 316 (BC_1, *, controlr, 1)," &
	" 317 (BC_1, IO_L10, output3, X, 316, 1, PULL0)," & --  PAD77
	" 318 (BC_1, IO_L10, input, X)," & --  PAD77
	" 319 (BC_1, *, internal, 1)," & -- PAD76.T
	" 320 (BC_1, *, internal, X)," & -- PAD76.O
	" 321 (BC_1, *, internal, X)," & -- PAD76.I
	" 322 (BC_1, *, controlr, 1)," &
	" 323 (BC_1, IO_N11, output3, X, 322, 1, PULL0)," & --  PAD75
	" 324 (BC_1, IO_N11, input, X)," & --  PAD75
	" 325 (BC_1, *, controlr, 1)," &
	" 326 (BC_1, IO_M11, output3, X, 325, 1, PULL0)," & --  PAD74
	" 327 (BC_1, IO_M11, input, X)," & --  PAD74
	" 328 (BC_1, *, controlr, 1)," &
	" 329 (BC_1, IO_L11, output3, X, 328, 1, PULL0)," & --  PAD73
	" 330 (BC_1, IO_L11, input, X)," & --  PAD73
	" 331 (BC_1, *, controlr, 1)," &
	" 332 (BC_1, DONE_M12, output3, X, 331, 1, PULL1)," &
	" 333 (BC_1, DONE_M12, input, X)," &
	" 334 (BC_1, *, internal, 1)," & -- PROGRAM_B.I
	" 335 (BC_1, *, controlr, 1)," &
	" 336 (BC_1, INIT_L13, output3, X, 335, 1, PULL1)," & --  PAD72
	" 337 (BC_1, INIT_L13, input, X)," & --  PAD72
	" 338 (BC_1, *, controlr, 1)," &
	" 339 (BC_1, IO_K10, output3, X, 338, 1, PULL0)," & --  PAD71
	" 340 (BC_1, IO_K10, input, X)," & --  PAD71
	" 341 (BC_1, *, controlr, 1)," &
	" 342 (BC_1, IO_K11, output3, X, 341, 1, PULL0)," & --  PAD70
	" 343 (BC_1, IO_K11, input, X)," & --  PAD70
	" 344 (BC_1, *, internal, 1)," & -- PAD69.T
	" 345 (BC_1, *, internal, X)," & -- PAD69.O
	" 346 (BC_1, *, internal, X)," & -- PAD69.I
	" 347 (BC_1, *, controlr, 1)," &
	" 348 (BC_1, IO_K12, output3, X, 347, 1, PULL0)," & --  PAD68
	" 349 (BC_1, IO_K12, input, X)," & --  PAD68
	" 350 (BC_1, *, controlr, 1)," &
	" 351 (BC_1, IO_K13, output3, X, 350, 1, PULL0)," & --  PAD67
	" 352 (BC_1, IO_K13, input, X)," & --  PAD67
	" 353 (BC_1, *, controlr, 1)," &
	" 354 (BC_1, IO_J10, output3, X, 353, 1, PULL0)," & --  PAD66
	" 355 (BC_1, IO_J10, input, X)," & --  PAD66
	" 356 (BC_1, *, controlr, 1)," &
	" 357 (BC_1, IO_J11, output3, X, 356, 1, PULL0)," & --  PAD65
	" 358 (BC_1, IO_J11, input, X)," & --  PAD65
	" 359 (BC_1, *, controlr, 1)," &
	" 360 (BC_1, IO_J13, output3, X, 359, 1, PULL0)," & --  PAD64
	" 361 (BC_1, IO_J13, input, X)," & --  PAD64
	" 362 (BC_1, *, controlr, 1)," &
	" 363 (BC_1, IO_H10, output3, X, 362, 1, PULL0)," & --  PAD63
	" 364 (BC_1, IO_H10, input, X)," & --  PAD63
	" 365 (BC_1, *, internal, 1)," & -- PAD62.T
	" 366 (BC_1, *, internal, X)," & -- PAD62.O
	" 367 (BC_1, *, internal, X)," & -- PAD62.I
	" 368 (BC_1, *, internal, 1)," & -- PAD61.T
	" 369 (BC_1, *, internal, X)," & -- PAD61.O
	" 370 (BC_1, *, internal, X)," & -- PAD61.I
	" 371 (BC_1, *, internal, 1)," & -- PAD60.T
	" 372 (BC_1, *, internal, X)," & -- PAD60.O
	" 373 (BC_1, *, internal, X)," & -- PAD60.I
	" 374 (BC_1, *, controlr, 1)," &
	" 375 (BC_1, IO_H11, output3, X, 374, 1, PULL0)," & --  PAD59
	" 376 (BC_1, IO_H11, input, X)," & --  PAD59
	" 377 (BC_1, *, controlr, 1)," &
	" 378 (BC_1, IO_H12, output3, X, 377, 1, PULL0)," & --  PAD58
	" 379 (BC_1, IO_H12, input, X)," & --  PAD58
	" 380 (BC_1, *, controlr, 1)," &
	" 381 (BC_1, IO_H13, output3, X, 380, 1, PULL0)," & --  PAD57
	" 382 (BC_1, IO_H13, input, X)," & --  PAD57
	" 383 (BC_1, *, internal, 1)," & -- PAD56.T
	" 384 (BC_1, *, internal, X)," & -- PAD56.O
	" 385 (BC_1, *, internal, X)," & -- PAD56.I
	" 386 (BC_1, *, controlr, 1)," &
	" 387 (BC_1, IO_G13, output3, X, 386, 1, PULL0)," & --  PAD55
	" 388 (BC_1, IO_G13, input, X)," & --  PAD55
	" 389 (BC_1, *, controlr, 1)," &
	" 390 (BC_1, IO_F13, output3, X, 389, 1, PULL0)," & --  PAD54
	" 391 (BC_1, IO_F13, input, X)," & --  PAD54
	" 392 (BC_1, *, internal, 1)," & -- PAD53.T
	" 393 (BC_1, *, internal, X)," & -- PAD53.O
	" 394 (BC_1, *, internal, X)," & -- PAD53.I
	" 395 (BC_1, *, controlr, 1)," &
	" 396 (BC_1, IO_F12, output3, X, 395, 1, PULL0)," & --  PAD52
	" 397 (BC_1, IO_F12, input, X)," & --  PAD52
	" 398 (BC_1, *, controlr, 1)," &
	" 399 (BC_1, IO_F11, output3, X, 398, 1, PULL0)," & --  PAD51
	" 400 (BC_1, IO_F11, input, X)," & --  PAD51
	" 401 (BC_1, *, controlr, 1)," &
	" 402 (BC_1, IO_F10, output3, X, 401, 1, PULL0)," & --  PAD50
	" 403 (BC_1, IO_F10, input, X)," & --  PAD50
	" 404 (BC_1, *, internal, 1)," & -- PAD49.T
	" 405 (BC_1, *, internal, X)," & -- PAD49.O
	" 406 (BC_1, *, internal, X)," & -- PAD49.I
	" 407 (BC_1, *, internal, 1)," & -- PAD48.T
	" 408 (BC_1, *, internal, X)," & -- PAD48.O
	" 409 (BC_1, *, internal, X)," & -- PAD48.I
	" 410 (BC_1, *, internal, 1)," & -- PAD47.T
	" 411 (BC_1, *, internal, X)," & -- PAD47.O
	" 412 (BC_1, *, internal, X)," & -- PAD47.I
	" 413 (BC_1, *, controlr, 1)," &
	" 414 (BC_1, IO_E13, output3, X, 413, 1, PULL0)," & --  PAD46
	" 415 (BC_1, IO_E13, input, X)," & --  PAD46
	" 416 (BC_1, *, controlr, 1)," &
	" 417 (BC_1, IO_E12, output3, X, 416, 1, PULL0)," & --  PAD45
	" 418 (BC_1, IO_E12, input, X)," & --  PAD45
	" 419 (BC_1, *, controlr, 1)," &
	" 420 (BC_1, IO_E10, output3, X, 419, 1, PULL0)," & --  PAD44
	" 421 (BC_1, IO_E10, input, X)," & --  PAD44
	" 422 (BC_1, *, controlr, 1)," &
	" 423 (BC_1, IO_D13, output3, X, 422, 1, PULL0)," & --  PAD43
	" 424 (BC_1, IO_D13, input, X)," & --  PAD43
	" 425 (BC_1, *, controlr, 1)," &
	" 426 (BC_1, IO_D12, output3, X, 425, 1, PULL0)," & --  PAD42
	" 427 (BC_1, IO_D12, input, X)," & --  PAD42
	" 428 (BC_1, *, controlr, 1)," &
	" 429 (BC_1, IO_D11, output3, X, 428, 1, PULL0)," & --  PAD41
	" 430 (BC_1, IO_D11, input, X)," & --  PAD41
	" 431 (BC_1, *, internal, 1)," & -- PAD40.T
	" 432 (BC_1, *, internal, X)," & -- PAD40.O
	" 433 (BC_1, *, internal, X)," & -- PAD40.I
	" 434 (BC_1, *, controlr, 1)," &
	" 435 (BC_1, IO_C13, output3, X, 434, 1, PULL0)," & --  PAD39
	" 436 (BC_1, IO_C13, input, X)," & --  PAD39
	" 437 (BC_1, *, controlr, 1)," &
	" 438 (BC_1, IO_C12, output3, X, 437, 1, PULL0)," & --  PAD38
	" 439 (BC_1, IO_C12, input, X)," & --  PAD38
	" 440 (BC_1, *, controlr, 1)," &
	" 441 (BC_1, IO_C11, output3, X, 440, 1, PULL0)," & --  PAD37
	" 442 (BC_1, IO_C11, input, X)," & --  PAD37
	" 443 (BC_1, *, controlr, 1)," &
	" 444 (BC_1, CCLK_B13, output3, X, 443, 1, PULL1)," &
	" 445 (BC_1, CCLK_B13, input, X)";

	
attribute DESIGN_WARNING of XC2S30_CS144 : entity is
        "This is a preliminary BSDL file which has not been verified." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "The boundary scan test vectors must keep the PROGRAM pin" &
                "either 3-stated or driving high.  If the PROGRAM pin" &
                "is driven low through any means, the TAP controller" &
                "will reset." &
        "The boundary scan test vectors must keep the PWDNB pin" &
                "either 3-stated or driving high.  If the PWDNB pin" &
                "is driven low through any means, the TAP controller" &
                "will reset." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control is not captured properly when" &
                "GTS is activated." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
	"The disable values of a 3-stated I/O in this file" &
		"correspond with configuration mode pin settings without" &
		"pre-configuration pull-up resistors.  For the" &
                "modes with pull-up resistors, change PULL0 to PULL1." &
	"In EXTEST, the determination of whether this is" &
		"a pull-up configuration mode depends upon the values" &
		"shifted in for the mode pin register cells.";

end XC2S30_CS144;